The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase built-in-testing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1990 (15) 1991-2005 (15) 2006-2015 (9)
Publication types (Num. hits)
article(20) inproceedings(19)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 60 occurrences of 39 keywords

Results
Found 39 publication records. Showing 39 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Marcia G. Méndez-Rivera, Alberto Valdes-Garcia, José Silva-Martínez, Edgar Sánchez-Sinencio An On-Chip Spectrum Analyzer for Analog Built-In Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog IC test, built-in testing, frequency response, switched-capacitor circuits
37Kozo Kinoshita, Kewal K. Saluja Built-In Testing of Memory Using an On-Chip Compact Testing Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF weight-sensitive faults, random- access memory (RAM), Built-in self-testing (BIST), stuck-at faults, built-in testing (BIT), pattern-sensitive faults, hardware complexity
37Eiji Fujiwara, Nobuo Mutoh, Kohji Matsuoka A Self-Testing Group-Parity Prediction Checker and Its Use for Built-In Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF self-verification, error-detection ability, group-parity prediction checker, self-testing, Built-in testing, duplication, self-checking checker, fault-detection ability
32Sampath Rangarajan, Donald S. Fussell, Miroslaw Malek Built-In Testing of Integrated Circuit Wafers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF integrated circuit wafers, silicon wafers, VLSI, integrated circuit testing, automatic testing, built-in testing, production testing
32El Mostapha Aboulhamid, Eduard Cerny A Class of Test Generators for Built-In Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF test set compression, Anti-self-dual functions, test generator, coding, built-in testing
28Irena Pavlova, Mikael Åkerholm, Johan Fredriksson Application of built-in-testing in component-based embedded systems. Search on Bibsonomy ROSATEA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Alberto Valdes-Garcia, Radhika Venkatasubramanian, Rangakrishnan Srinivasan, José Silva-Martínez, Edgar Sánchez-Sinencio A CMOS RF RMS Detector for Built-in Testing of Wireless Transceivers. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Marcia G. Méndez-Rivera, José Silva-Martínez, Edgar Sánchez-Sinencio On-chip spectrum analyzer for built-in testing analog ICs. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Sami Beydeda Research in testing COTS components - built-in testing approaches. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Kozo Kinoshita, Kewal K. Saluja Built-in Testing of Memory Using On-chip Compact Testing Scheme. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
16Sheng Zhang 0008, Sharad C. Seth, Bhargab B. Bhattacharya Efficient Test Compaction for Pseudo-Random Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in testing, test-data compression, Test compaction, pseudo-random testing
16Irith Pomeranz, Sudhakar M. Reddy A Storage-Based Built-In Test Pattern Generation Method for Scan Circuits Based on Partitioning and Reduction of a Precomputed Test Set. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan circuits, Built-in testing, Cartesian product
16Érika F. Cota, Fernanda Lima 0001, Sana Rezgui, Luigi Carro, Raoul Velazco, Marcelo Lubaszewski, Ricardo Reis 0001 Synthesis of an 8051-Like Micro-Controller Tolerant to Transient Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF integrated circuits radiation effects, aerospace testing, built-in-testing, microprocessor testing
16Hal Wasserman, Manuel Blum 0001 Software reliability via run-time result-checking. Search on Bibsonomy J. ACM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self-correcting, fault tolerance, debugging, Fourier transform, concurrent error detection, built-in testing, result-checking
16Manuel Blum 0001, Hal Wasserman Reflections on the Pentium Bug. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Pentium, fault tolerance, reliability, verification, concurrent error detection, Built-in testing, result-checking
16Wojciech Maly, Marek J. Patyra Design of ICs applying built-in current testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF BIC-testing, Built-in testing, current testing
16William H. McAnney, Jacob Savir Built-In Checking of the Correct Self-Test Signature. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF self-test signature, initial value, signature register, single observation, logic testing, automatic testing, built-in testing
16Melvin A. Breuer, Asad A. Ismaeel Roving Emulation as a Fault Detection Mechanism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF digital systems testing, roving emulation, simulation, fault detection, emulation, Built-in testing, error latency
16Kewal K. Saluja, Kozo Kinoshita Test Pattern Generation for API Faults in RAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF static pattern-sensitive faults, fault detection, Built-in testing, random-access memory, pattern-sensitive faults
16Manjiri L. Karandikar, Snehprabha Lad A Review of On-Chip Spectral Analysis for Built-In Testing Using FFT Engine. Search on Bibsonomy ICETET The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hari Chauhan, Yongsuk Choi, Marvin Onabajo, In-Seok Jung, Yong-Bin Kim Accurate and Efficient On-Chip Spectral Analysis for Built-In Testing and Calibration Approaches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Josep Altet, Eduardo Aldrete-Vidrio, Ferran Reverter, Didac Gómez, José Luis González 0001, Marvin Onabajo, José Silva-Martínez, B. Martineau, X. Perpiñà, Louay Abdallah, Haralampos-G. D. Stratigopoulos, Xavier Aragonès, Xavier Jordà, Miquel Vellvehí, Stefan Dilhaire, Salvador Mir, Diego Mateo Review of temperature sensors as monitors for RF-MMW built-in testing and self-calibration schemes. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Abhijit Chatterjee, Donghoon Han, Vishwanath Natarajan, Shyam Kumar Devarakond, Shreyas Sen, Hyun Woo Choi, Rajarajan Senguttuvan, Soumendu Bhattacharya, Abhilash Goyal, Deuk Lee, Madhavan Swaminathan Iterative built-in testing and tuning of mixed-signal/RF systems. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Eduardo Aldrete-Vidrio, Marvin Onabajo, Josep Altet, Diego Mateo, José Silva-Martínez Non-invasive RF built-in testing using on-chip temperature sensors. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Daniel Brenner, Colin Atkinson 0001, Rainer Malaka, Matthias Merdes, Barbara Paech, Dima Suliman Reducing verification effort in component-based software engineering through built-in testing. Search on Bibsonomy Inf. Syst. Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Run-time testing, MORABIT, Built-in test, Integration test
16Daniel Brenner Enabling Run-Time System Verification through Built-In Testing. Search on Bibsonomy TAIC PART The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Daniel Brenner, Colin Atkinson 0001, Barbara Paech, Rainer Malaka, Matthias Merdes, Dima Suliman Reducing Verification Effort in Component-Based Software Engineering through Built-In Testing. Search on Bibsonomy EDOC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Alberto Valdes-Garcia, José Silva-Martínez, Edgar Sánchez-Sinencio An On-Chip Transfer Function Characterization System for Analog Built-in Testing. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Derek Feltham, Phil Nigh, L. Richard Carley, Wojciech Maly Current sensing for built-in testing of CMOS circuits. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Eiji Fujiwara, Kohji Matsuoka A Self-Checking Generalized Prediction Checker and Its Use for Built-In Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Hideo Tamamoto, Hirotomo Sakusabe, Yuichi Narita A built-in testing scheme for ic memories by considering address decoder and cell array separately. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Parag K. Lala On Built-In Testing of VLSI Chips. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
16El Mostapha Aboulhamid, Eduard Cerny Built-In Testing of One-Dimensional Unilateral Iterative Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16Wilfried Daehn, Joachim Mucha Hardware Test Pattern Generation for Built-In Testing. Search on Bibsonomy ITC The full citation details ... 1981 DBLP  BibTeX  RDF
13Wen-Ben Jone, Anita Gleason Analysis of Hamming count compaction scheme. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF index vector, spectral coefficients, Built-in self test, compaction, syndrome
10Irith Pomeranz, Sudhakar M. Reddy, Yervant Zorian A Test Interface for Built-In Test of Non-Isolated Scanned Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ioannis Voyiatzis, Dimitris Gizopoulos, Antonis M. Paschalis Accumulator-based test generation for robust sequential fault testing in DSP cores in near-optimal time. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Wen-Ben Jone, Christos A. Papachristou, M. Pereira A Scheme for Overlaying Concurrent Testing of VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
5Mohammad Azam, Krishna R. Pattipati, Ann Patterson-Hine Optimal sensor allocation for fault detection and isolation. Search on Bibsonomy SMC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #39 of 39 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license