The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cache-coherence (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1988 (15) 1989-1990 (29) 1991-1992 (25) 1993 (30) 1994 (33) 1995 (26) 1996 (37) 1997 (29) 1998 (24) 1999 (22) 2000 (28) 2001 (21) 2002 (19) 2003 (25) 2004 (37) 2005 (24) 2006 (36) 2007 (50) 2008 (34) 2009 (31) 2010 (22) 2011 (24) 2012-2013 (28) 2014 (21) 2015 (22) 2016 (18) 2017 (19) 2018-2019 (18) 2020-2021 (19) 2022-2023 (25)
Publication types (Num. hits)
article(203) book(2) incollection(2) inproceedings(564) phdthesis(20)
Venues (Conferences, Journals, ...)
ISCA(44) IEEE Trans. Parallel Distribut...(33) HPCA(27) IEEE Trans. Computers(26) IPDPS(20) ASPLOS(15) ICPP(15) ICS(15) MICRO(15) ICPP (1)(14) CAV(12) CoRR(12) SC(12) IPPS(11) DATE(10) IEEE Micro(10) More (+10 of total 267)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 766 occurrences of 353 keywords

Results
Found 791 publication records. Showing 791 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
121Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura An Optical Bus Computer Cluster with a deferred cache coherence protocol. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage
110Jaydeep Marathe, Frank Mueller 0001 Source-Code-Correlated Cache Coherence Characterization of OpenMP Benchmarks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, Cache memories, SMPs, program instrumentation, coherence protocols, dynamic binary rewriting
99Qing Yang 0001, George Thangadurai, Laxmi N. Bhuyan Design of an Adaptive Cache Coherence Protocol for Large Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF adaptive cache coherence protocol, cache-based multiprocessor, cache coherence scheme, memoryarchitecture, protocols, multiprocessor interconnection networks, multistage interconnection network, buffer storage, hierarchical network
96Ralf Kattner, M. Eger, Christian Müller-Schloer Modeling Cache Coherence Overhead with Geometric Objects. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cache coherence verhead, cache coherence block size, modeling, Shared memory multiprocessor, geometric objects
88H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan On the Correctness of Program Execution When Cache Coherence Is Maintained Locally at Data-Sharing Boundaries in Distributed Shared Memory Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmer-centric framework, release consistency memory model, performance evaluation, cache coherence, Distributed shared-memory multiprocessor
86Hock-Beng Lim, Pen-Chung Yew An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching
82Jaydeep Marathe, Frank Mueller 0001, Bronis R. de Supinski A hybrid hardware/software approach to efficiently determine cache coherence Bottlenecks. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SMPs, program instrumentation, coherence protocols, hardware performance monitoring, cache analysis, dynamic binary rewriting
80Frank E. B. Ophelders, Marco Bekooij, Henk Corporaal A tuneable software cache coherence protocol for heterogeneous MPSoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, design, reliability
78Satish Chandra 0001, Brad Richards, James R. Larus Teapot: A Domain-Specific Language for Writing Cache Coherence Protocols. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF distributed systems, verification, Domain-specific languages, continuations, cache coherence
77Jaehyuk Huh 0001, Jichuan Chang, Doug Burger, Gurindar S. Sohi Coherence decoupling: making use of incoherence. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coherence decoupling, coherence misses, speculative cache lookup, false sharing
77Hui Li, Kenneth C. Sevcik Exploiting cache affinity in software cache coherence. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
76Srivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
76Bo Zhang 0016, Binoy Ravindran Brief announcement: queuing or priority queuing? on the design of cache-coherence protocols for distributed transactional memory. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed queuing, transactional memory, cache-coherence protocols
76Hock-Beng Lim, Pen-Chung Yew Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design
72Lynn Choi, Pen-Chung Yew Compiler Analysis for Cache Coherence: Interprocedural Array Data-Flow Analysis and Its Impact on Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compiler, shared-memory multiprocessors, data-flow analysis, cache coherence, interprocedural analysis
71John H. Kelm, Daniel R. Johnson, William Tuohy, Steven S. Lumetta, Sanjay J. Patel Cohesion: a hybrid memory model for accelerators. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer architecture, cache coherence, accelerator
71Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 An efficient cache design for scalable glueless shared-memory multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF L2, directory structure, glueless shared-memory multiprocessors, cache, cache coherence, memory wall
70Jaydeep Marathe, Anita Nagarajan, Frank Mueller 0001 Detailed cache coherence characterization for OpenMP benchmarks. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SMPs, program instrumentation, coherence protocols, cache analysis, dynamic binary rewriting
70Sangman Moh, Jae-Hong Shim, Yang-Dong Lee, Jeong-A Lee, Beom-Joon Cho Design and Evaluation of a Cache Coherence Adapter for the SMP Nodes Interconnected via Xcent-Net. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
69Donglai Dai, Dhabaleswar K. Panda 0001 Exploiting the Benefits of Multiple-Path Network DSM Systems: Architectural Alternatives and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF directory-based protocols and cache coherence, interconnection networks, Parallel architecture, performance modeling, network interface, distributed shared-memory systems
68Albert Meixner, Daniel J. Sorin Error Detection via Online Checking of Cache Coherence with Token Coherence Signatures. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Ahmed Louri, Avinash Karanth Kodi An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs). Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel optical interconnects, scalable optical networks, cache coherence, SMPs
66Fong Pong, Michel Dubois 0001 Formal Automatic Verification of Cache Coherence in Multiprocessors with Relaxed Memory Models. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF relaxed memory consistency models, delayed consistency, symbolic state model, verification, Shared-memory multiprocessor
66Carla Diacui Medeiros Berkenbrock, Celso Massaki Hirata Supporting Cache Coherence in Mobile Cooperative Systems. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mobile Cooperative Work, Cache Coherence
66Hong Pan, Huimin Lin, Yi Lv Model Checking Data Consistency for Cache Coherence Protocols. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF value-passing, symbolic transition graphs, model checking, concurrent systems, cache coherence protocols
66Rana Ejaz Ahmed Energy-Aware Cache Coherence Protocol for Chip-Multiprocessors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol
64Ehsan Atoofian, Amirali Baniasadi, Kaveh Aasaraai Speculative supplier identification for reducing power of interconnects in snoopy cache coherence protocols. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, power, SMP, cache coherence protocol
64Mirko Loghi, Massimo Poncino, Luca Benini Cache coherence tradeoffs in shared-memory MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, Cache coherence
64Lynn Choi, Pen-Chung Yew Hardware and Compiler-Directed Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, compiler, Computer architecture, shared-memory multiprocessors, cache coherence, memory systems
64Sang Lyul Min, Jean-Loup Baer Design and Analysis of a Scalable Cache Coherence Scheme Based on Clocks and Timestamps. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF cache contents reuse, scalable cache coherence, multiple privatecaches, compile-time marking, hardware-based local incoherence detection, program flow, parallel programming, shared memory multiprocessors, storage management, clocks, trace-driven simulation, buffer storage, timestamps, references
64Hoichi Cheong, Alexander V. Veidenbaum A version control approach to Cache coherence. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF parallel task execution, software-directed cache coherence, version control
60Félix García Carballeira, Jesús Carretero 0001, Alejandro Calderón 0001, José María Pérez, José Daniel García An Adaptive Cache Coherence Protocol Specification for Parallel Input/Output Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Qing Yang 0001, George Thangadurai, Laxmi N. Bhuyan An adaptive cache coherence scheme for hierarchical shared-memory multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
60Jichuan Chang, Gurindar S. Sohi Cooperative Caching for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
60Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
60Mirko Loghi, Martin Letis, Luca Benini, Massimo Poncino Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, cache coherence
60Giorgio Delzanno Constraint-Based Verification of Parameterized Cache Coherence Protocols. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF constraints, abstractions, symbolic model checking, cache coherence protocols
60Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
59Mark A. Heinrich, Vijayaraghavan Soundararajan, John L. Hennessy, Anoop Gupta A Quantitative Analysis of the Performance and Scalability of Distributed Shared Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF flexible node controller, bitvector, dynamic pointer allocation, controller occupancy, distributed shared memory, performance comparison, FLASH, Cache coherence protocols, MAGIC, COMA, SCI, scalable multiprocessors
59Ricardo Bianchini, Leonidas I. Kontothanassis Algorithms for categorizing multiprocessor communication under invalidate and update-based coherence protocols. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared-memory multiprocessor communication, invalidate-based cache coherence protocols, update-based cache coherence protocols, reference patterns, sharing patterns, useless data traffic, data traffic categorization, parallel programming, parallel programs, virtual machines, transaction processing, shared memory systems, coherence, cache storage, telecommunication traffic, cache misses, simulation algorithms, update transactions, memory protocols
59Noel Eisley, Li-Shiuan Peh, Li Shang In-Network Cache Coherence. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58David J. Lilja, Pen-Chung Yew Improving Memory Utilization in Cache Coherence Directories. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory utilization, cache coherence directories, large-scale shared memorymultiprocessors, software-directed schemes, compile-time memory disambiguation, dynamically tagged directory, high-level sharing information, configurationmanagement, discrete event simulation, compiler optimizations, program compilers, shared memory systems, trace-driven simulations, buffer storage, storage allocation, interprocedural analysis
58E. Allen Emerson, Vineet Kahlon Rapid Parameterized Model Checking of Snoopy Cache Coherence Protocols. Search on Bibsonomy TACAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Kazuki Joe, Akira Fukuda Applying the Semi-Markov Memory and Cache Coherence Interference Model to an Updating Based Cache Coherence Protocol. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
56Bo Zhang 0016, Binoy Ravindran Brief Announcement: Relay: A Cache-Coherence Protocol for Distributed Transactional Memory. Search on Bibsonomy OPODIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Hock-Beng Lim, Pen-Chung Yew A Compiler-Directed Cache Coherence Scheme Using Data Prefetching. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
56Harjinder S. Sandhu, Benjamin Gamsa, Songnian Zhou The Shared Regions Approach to Software Cache Coherence on Multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C++
56Ervan Darnell, John M. Mellor-Crummey, Ken Kennedy Automatic software cache coherence through vectorization. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
56Thuy Duong Vu, Li Zhang 0034, Chris R. Jesshope The Verification of the On-Chip COMA Cache Coherence Protocol. Search on Bibsonomy AMAST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF on-chip COMA cache coherence protocol, location consistency, verification, Abstract State Machine
56Hoichi Cheong Life span strategy - a compiler-based approach to cache coherence. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF compiler-based cache coherence, fast selective invalidation, inter-task-level temporal locality, life span strategy, parallel task execution, simple invalidation, time-stamp approach, version control, Doacross loop
56Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
55Konstantinos Aisopos, Chien-Chun Chou, Li-Shiuan Peh Extending open core protocol to support system-level cache coherence. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coherence extensions, ocp, open core protocol, specification, mpsocs
54Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Masafumi Takahashi, Hiroyuki Takano, Emi Kaneko, Seigo Suzuki A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
54Fong Pong, Michel Dubois 0001 A New Approach for the Verification of Cache Coherence Protocols. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF symbolic expansion, formal verification, finite state machine, shared-memory multiprocessor, Cache coherence protocol
53Demid Borodin, Ben H. H. Juurlink A Low-Cost Cache Coherence Verification Method for Snooping Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Susan S. Owicki, Anant Agarwal Evaluating the Performance of Software Cache Coherence. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
52John P. Sustersic, Ali R. Hurson A Quality of Service (QoS) Implementation of Internet Cache Coherence. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Lubomir Ivanov, Ramakrishna Nunna Modeling and verification of cache coherence protocols. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
51Gilles Pokam, Cristiano Pereira, Klaus Danne, Rolf Kassa, Ali-Reza Adl-Tabatabai Architecting a chunk-based memory race recorder in modern CMPs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory race recorder, determinism, deterministic replay
51Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
51Blas Cuesta, Antonio Robles, José Duato Improving Token Coherence by Multicast Coherence Messages. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Token Cohence, protocol races, multicast, Cache coherence, SMP
50Ehsan Atoofian, Amirali Baniasadi A Power-Aware Prediction-Based Cache Coherence Protocol for Chip Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Jie Tao 0001, Wolfgang Karl Impact of Cache Coherence Models on Performance of OpenMP Applications. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Mats Brorsson SM-prof: A Tool to Visualise and Find Cache Coherence Performance Bottlenecks in Multiprocessor Programs. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
50Daniel J. Sorin, Manoj Plakal, Anne Condon, Mark D. Hill, Milo M. K. Martin, David A. Wood 0001 Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multicast snooping, Cache coherence, protocol verification, protocol specification, memory consistency
50Jonas Skeppstedt, Per Stenström Using Dataflow Analysis Techniques to Reduce Ownership Overhead in Cache Coherence Protocols. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF performance evaluation, cache coherence, dataflow analysis
50Claude Girault, C. Chatelain, Serge Haddad Specification and properties of a cache coherence protocol model. Search on Bibsonomy European Workshop on Applications and Theory of Petri Nets The full citation details ... 1986 DBLP  DOI  BibTeX  RDF verification, Petri nets, protocols, specification, multiprocessors, memory hierarchy, cache coherence
49Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols
49Harjinder S. Sandhu, Kenneth C. Sevcik An Analytic Study of Dynamic Hardware and Software Cache Coherence Strategies. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
47Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-network coherence filtering: snoopy coherence without broadcasts. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
47Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 Token Coherence: Decoupling Performance and Correctness. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Chenjie Yu, Peter Petrov Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power multiprocessor system, embedded systems, cache coherence
47Xiaodong Zhang 0001, Yong Yan 0003 Comparative Modeling and Evaluation of CC-NUMA and COMA on Hierarchical Ring Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF performance modeling and measurements, the KSR1, shared-memory, Cache coherence, CC-NUMA, COMA, slotted rings
47John Chapin, Stephen Alan Herrod, Mendel Rosenblum, Anoop Gupta Memory System Performance of UNIX on CC-NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
47Sudhindra Pandav, Konrad Slind, Ganesh Gopalakrishnan Counterexample Guided Invariant Discovery for Parameterized Cache Coherence Verification. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Anca-Andreea Ivan, Vijay Karamcheti Flecc: A Flexible Cache Coherence Protocol for Dynamic Component-Based Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Taeweon Suh, Daehyun Kim 0001, Hsien-Hsin S. Lee Cache coherence support for non-shared bus architecture on heterogeneous MPSoCs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF heterogeneous, cache coherence, MPSoC, real-time and embedded systems, inter-processor communication
46Rajeev Joshi, Leslie Lamport, John Matthews, Serdar Tasiran, Mark R. Tuttle, Yuan Yu Checking Cache-Coherence Protocols with TLA+. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TLC, model checking, cache coherence, TLA+
46Jun Pang 0001, Wan J. Fokkink, Rutger F. H. Hofman, Ronald Veldema Model Checking a Cache Coherence Protocol for a Java DSM Implementation. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF µCRL, Java, model checking, distributed shared memory, cache coherence protocols
46Inês de Castro Dutra, Vítor Santos Costa, Ricardo Bianchini The Impact of Cache Coherence Protocols on Parallel Logic Programming Systems. Search on Bibsonomy Computational Logic The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dsm architectures, performance evaluation, parallelism, logic programming, cache coherence protocols
46Fong Pong, Michel Dubois 0001 Verification Techniques for Cache Coherence Protocols. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF state representation and expansion, finite state machine, shared-memory multiprocessors, cache coherence, protocol verification
45Masaru Takesue A tampering protocol for reducing the coherence transactions in regular computation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF tampering protocol, coherence transactions, regular computation, latency of communication, protocols, multiprocessor, cache-coherence protocol, RTL simulator
45Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
45Wen-Hann Wang, Jean-Loup Baer, Henry M. Levy Organization and Performance of a Two-Level Virtual-Real Cache Hierarchy. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
44Mirko Loghi, Massimo Poncino Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Won-Kee Hong, Nam-Hee Kim, Shin-Dug Kim Design and Performance Evaluation of an Adaptive Cache Coherence Protocol. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Lynn Choi, Pen-Chung Yew Compiler and Hardware Support for Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Blas Cuesta, Antonio Robles, José Duato Switch-Based Packing Technique for Improving Token Coherence Scalability. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Rohit Chandra, Kourosh Gharachorloo, Vijayaraghavan Soundararajan, Anoop Gupta Performance evaluation of hybrid hardware and software distributed shared memory protocols. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Rong-Yuh Hwang An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
43John Heinlein, Kourosh Gharachorloo, Robert P. Bosch Jr., Mendel Rosenblum, Anoop Gupta Coherent Block Data Transfer in the FLASH Multiprocessor. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC
43Yunseok Rhee, Joonwon Lee A Scalable Cache Coherent Architecture for Large-Scale Mesh-Connected Multiprocessors. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF mesh-interconnect, directory-based protocol, multiprocessor, wormhole routing, cache coherence, dimension ordered routing
43Hongbo Zeng, Kun Huang, Ming Wu, Weiwu Hu Concerning with On-Chip Network Features to Improve Cache Coherence Protocols for CMPs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43H. Sarojadevi, S. K. Nandy 0001, Srinivasan Balakrishnan Enforcing Cache Coherence at Data Sharing Boundaries without Global Control: A Hardware-Software Approach (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43David M. Brooks, Margaret Martonosi Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware. Search on Bibsonomy CANPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Seungjoon Park, David L. Dill Verification of Cache Coherence Protocols by Aggregation of Distributed Transactions. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43Farnaz Mounes-Toussi, David J. Lilja The Potential of Compile-Time Analysis to Adapt the Cache Coherence Enforcement Strategy to the Data Sharing Characteristics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 791 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license