The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase carry-select (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-2000 (15) 2001-2003 (18) 2004-2005 (18) 2006-2010 (15) 2011-2014 (15) 2015-2021 (20) 2022-2023 (5)
Publication types (Num. hits)
article(44) inproceedings(62)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 29 occurrences of 24 keywords

Results
Found 106 publication records. Showing 106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
101Yan Sun, Xin Zhang, Xi Jin High-Performance Carry Select Adder Using Fast All-One Finding Logic. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fast all-one finding circuit, add-one circuit, carry-select adder
99Behnam Amelifard, Farzan Fallah, Massoud Pedram Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
97Akhilesh Tyagi A Reduced-Area Scheme for Carry-Select Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF reduced-area, conditional-sum adders, carry-chain evaluations, gate-count, carry-ripple, classical carry-select, logic design, adders, logic circuits, gate-delay, parallel-prefix adders, analytic evaluation, area-efficient, carry-skip adders, carry-select adders
95Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan, Daniel Marienfeld Modulo p=3 Checking for a Carry Select Adder. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF concurrent checking, modulo checking, carry select adder
92Vitit Kantabutra A Recursive Carry-Lookahead/Carry-Select Hybrid Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF recursive carry-lookahead/carry-select hybrid adder, double-precision mantissas, spanning tree carry lookahead adder, redundant cell adder, Am29050 microprocessor, Manchester carry chains, delays, adders
82Keshab K. Parhi Low-energy CSMT carry generators and binary adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
79Youngjoon Kim, Lee-Sup Kim A low power carry select adder with reduced area. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
73Vitalij Ocheretnij, Daniel Marienfeld, Egor S. Sogomonyan, Michael Gössel Self-Checking Code-Disjoint Carry-Select Adder with Low Area Overhead by Use of Add1-Circuits. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
70Yiran Chen 0001, Hai Li 0001, Kaushik Roy 0001, Cheng-Kok Koh Cascaded carry-select adder (C2SA): a new structure for low-power CSA design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, carry-select adder
70Hiroaki Suzuki, Woopyo Jeong, Kaushik Roy 0001 Low-power carry-select adder using adaptive supply voltage based on input vector patterns. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive supply voltage, low power adder, carry-select adder
61Jin-Fu Li 0001, Jiunn-Der Yu, Yu-Jen Huang A design methodology for hybrid carry-lookahead/carry-select adders with reconfigurability. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
61Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan, Daniel Marienfeld A Modulo p Checked Self-Checking Carry Select Adder. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
57Egor S. Sogomonyan, Daniel Marienfeld, Vitalij Ocheretnij, Michael Gössel A New Self-Checking Sum-Bit Duplicated Carry-Select Adder. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Morteza Dorrigiv, Ghassem Jaberipur Low area/power decimal addition with carry-select correction and carry-select sum-digits. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
54Yajuan He, Chip-Hong Chang, Jiangmin Gu An area efficient 64-bit square root carry-select adder for low power applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Dilip P. Vasudevan, Parag K. Lala A Technique for Modular Design of Self-Checking Carry-Select Adder. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Youngjoon Kim, Ki-Hyuk Sung, Lee-Sup Kim A 1.67 GHz 32-bit pipelined carry-select adder using the complementary scheme. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Scott Hauck, Matthew M. Hosler, Thomas W. Fry High-performance carry chains for FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Scott Hauck, Matthew M. Hosler, Thomas W. Fry High-Performance Carry Chains for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
43 Low power area optimized and high speed carry select adder using optimized half sum and carry generation unit for FIR filter. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
43Mehedi Hasan, Muhammad Saddam Hossain, Abdul Hasib Siddique, Mainul Hossain, Hasan U. Zaman, Sharnali Islam A high-speed 4-bit Carry Look-Ahead architecture as a building block for wide word-length Carry-Select Adder. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
43Minho Nam, Yeonhun Choi, Kyoung-Rok Cho High-speed and energy efficient carry select adder (CSLA) dominated by carry generation logic. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
43Habib Ghasemizadeh Tamar, Akbar Ghasemizadeh Tamar, Khayrollah Hadidi, Abdollah Khoei, Pourya Hoseini High speed area reduced 64-bit static hybrid carry-lookahead/carry-select adder. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
43Yajuan He, Chip-Hong Chang A Power-Delay Efficient Hybrid Carry-Lookahead/Carry-Select Based Redundant Binary to Two's Complement Converter. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Hiroaki Suzuki, Woopyo Jeong, Kaushik Roy 0001 Adaptive Supply Voltage for Low-Power Ripple-Carry and Carry-Select Adders. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Gustavo A. Ruiz, Mercedes Granda An area-efficient static CMOS carry-select adder based on a compact carry look-ahead unit. Search on Bibsonomy Microelectron. J. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Ohsang Kwon, Earl E. Swartzlander Jr., Kevin J. Nowka A fast hybrid carry-lookahead/carry-select adder design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CMOS, domino logic, carry lookahead adder
42Jongsun Park 0001, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy 0001 High performance and low power FIR filter design based on sharing multiplication. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FIR filter design, computation sharing, conditional capture flip-flop, high performance and low power carry select adder
42Hiromichi Yamada, Takashi Hotta, Takahiro Nishiyama, Fumio Murabayashi, Tatsumi Yamauchi, Hideo Sawamoto A 13.3ns double-precision floating-point ALU and multiplier. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF double-precision floating-point ALU, floating-point multiplier, carry select addition, prerounding techniques, noise tolerant precharge circuit, two-cycle latency, 13.3 ns, 0.3 micron, 2.5 V, 150 MHz, normalization, floating point arithmetic, CMOS integrated circuits, multiplying circuits, CMOS technology, arithmetic logic unit
38Aamir A. Farooqui, Vojin G. Oklobdzija, Sadiq M. Sait Area-time optimal adder with relative placement generator. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Alireza Namazi, Seyed Ghassem Miremadi, Alireza Ejlali A High Speed and Low Cost Error Correction Technique for the Carry Select Adder. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36B. Kiran Kumar, Parag K. Lala On-line Detection of Faults in Carry-Select Adders. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Amaury Nève, Denis Flandre, Helmut Schettler, Thomas Ludwig 0004, Gerhard Hellner Design of a branch-based 64-bit carry-select adder in 0.18 µm partially depleted SOI CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SOI technology, logic design styles, circuit Design
36Jianhua Liu, Michael Chang, Chung-Kuan Cheng, John F. MacDonald, Nan-Chi Chou, Peter Suaris Fast adders in modern FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Michael J. Schulte, Kai Chirca, John Glossner, Haoran Wang, Suman Mamidi, Pablo I. Balzola, Stamatis Vassiliadis A Low-Power Carry Skip Adder with Fast Saturation. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Hong Diep Nguyen, Bogdan Pasca 0001, Thomas B. Preußer FPGA-Specific Arithmetic Optimizations of Short-Latency Adders. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF carry-chain, carry-select, carry-increment, FPGA, addition
31Johannes Grad, James E. Stine Low power binary addition using carry increment adders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Andrew Beaumont-Smith, Cheng-Chew Lim Parallel Prefix Adder Design. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Perumal Mahendran, M. S. Kavitha, R. Radhika, C. Kotteeswaran Design of all pass make over based capricious digital filter using eminent speed dual carry select adder and truncation and rounding approximate multiplier for image processing application. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Jeyakumar Ponraj, R. Jeyabharath, P. Veena, Tharumar Srihari High-performance multiply-accumulate unit by integrating binary carry select adder and counter-based modular wallace tree multiplier for embedding system. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28B. Jeevan, K. Bikshalu, E. Hari Krishna, Kosaraju Sivani Design of 2-1 Multiplexer based high-speed, Two-Stage 90 nm Carry Select Adder for fast arithmetic units. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Poh Yuin Lyn, Nor Azlin Ghazali, Mohamed Fauzi Packeer Mohamed, Muhammad Firdaus Akbar Design of Low-Power and Area-Efficient Square Root Carry Select Adder Using Binary to Excess-1 Converter (BEC). Search on Bibsonomy RoViSP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Raju Ganna, Shanky Saxena, Govind Singh Patel Design of Power, Area and Delay Optimized Direct Digital Synthesizer Using Modified 32-Bit Square Root Carry Select Adder. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Maytham Allahi Rudposhti, Mojtaba Valinataj High-speed and low-cost carry select adders utilizing new optimized add-one circuit and multiplexer-based logic. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28R. Jothin, P. Sreelatha, Ahilan Appathurai, M. Peer Mohamed High-Performance Carry Select Adders. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28S. Rooban, Prasanna D. Lakshmi, Teja K. B. S. Durga, Kumar P. V. Mani Carry Select Adder Design with Testability using Reversible Gates. Search on Bibsonomy Int. J. Perform. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Bala Sindhuri Kandula, Padma Vasavi Kalluru, Santi Prabha Inty Design of area efficient VLSI architecture for carry select adder using logic optimization technique. Search on Bibsonomy Comput. Intell. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Nikhil Advaith Gudala, Trond Ytterdal, John J. Lee 0001, Maher E. Rizkalla Implementation of High Speed and Low Power Carry Select Adder with BEC. Search on Bibsonomy MWSCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Akhilesh G. Naik, Debarshi Deka, Dipankar Pal ASIC Implementation of High-Speed Adaptive Recursive Karatsuba Multiplier with Square-Root-Carry-Select-Adder. Search on Bibsonomy LASCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28R. Arun Sekar, S. Sasipriya Implementation of FIR filter using reversible modified carry select adder. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Nobutaka Kito, Naofumi Takagi Concurrent Error Detectable Carry Select Adder with Easy Testability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28K. B. Bavithra, R. Siva Kumar High throughput K best MIMO detector using modified final selector based carry select adder. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Mojtaba Valinataj, Abbas Mohammadnezhad, Jari Nurmi A low-cost high-speed self-checking carry select adder with multiple-fault detection. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Xiao-Ping Cui, Weiqiang Liu 0001, Shumin Wang, Earl E. Swartzlander Jr., Fabrizio Lombardi Design of High-Speed Wide-Word Hybrid Parallel-Prefix/Carry-Select and Skip Adders. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Chetan Kamble, Siddharth R. K., Shivnarayan Patidar, M. H. Vasantha, Nithin Y. B. Kumar Design of Area-Power-Delay Efficient Square Root Carry Select Adder. Search on Bibsonomy iSES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28E. John Alex, M. Vijayaraj Energy Efficient BEC Modified Carry Select Adder based PTMAC Architecture for Biomedical Processors. Search on Bibsonomy Intell. Autom. Soft Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram An energy and area efficient yet high-speed square-root carry select adder structure. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Raghava Katreepalli, Themistoklis Haniotakis High Speed Power Efficient Carry Select Adder Design. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28G. R. Gokhale, P. D. Bahirgonde Design of Vedic-multiplier using area-efficient Carry Select Adder. Search on Bibsonomy ICACCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Sanjay Singh, Srinivasa Rao Karumuri Implementation of 4-bit carry select adder using Diode free adiabatic logic (DFAL). Search on Bibsonomy ReTIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
28Venkatachalam Nithish Kumar, Pani Prithvi Raj, Gopalakrishnan Lakshminarayanan, Mathini Sellathurai Low Power and Area Efficient Carry Select Adder. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Muhammad Ali Akbar, Jeong-A Lee Comments on "Self-Checking Carry-Select Adder Design Based on Two-Rail Encoding". Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Basant Kumar Mohanty, Sujit Kumar Patel Area-Delay-Power Efficient Carry-Select Adder. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28A. Ramakrishna Reddy, M. Parvathi Efficient carry select adder using 0.12µm technology for low power applications. Search on Bibsonomy ICACCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Muhammad Ali Akbar, Jeong-A Lee Self-Checking Carry Select Adder with Fault Localization. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28Amit Grover, Neeti Grover Comparative Analysis: Area-Efficient Carry Select Adders 180 Nm Technology. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
28B. Ramkumar, Harish M. Kittur Low-Power and Area-Efficient Carry Select Adder. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Nobutaka Kito, Shinichi Fujii, Naofumi Takagi A C-Testable Multiple-Block Carry Select Adder. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Kai Du, Peter J. Varman, Kartik Mohanram High performance reliable variable latency carry select addition. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Massimo Alioto, Gaetano Palumbo, Massimo Poli Optimized design of parallel carry-select adders. Search on Bibsonomy Integr. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Feng Liu 0029, Xiaoyu Song, Qingping Tan, Gang Chen 0004 Formal Analysis of Hybrid Prefix/Carry-Select Arithmetic Systems. Search on Bibsonomy Comput. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Huan Minh Vo, Chul-Moon Jung, Eun-Sub Lee, Kyeong-Sik Min Carry select adder with sub-block power gating for reducing active-mode leakage in sub-32-nm VLSIs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
28Feng Liu 0029, QingPing Tan, Xiaoyu Song, Gang Chen 0004 Formal Proof for a General Architecture of Hybrid Prefix/Carry-Select Adders. Search on Bibsonomy ICA3PP (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Yan Sun, Xin Zhang, Xi Jin Low-power carry select adder using fast all-one finding logic. Search on Bibsonomy SoSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Dilip P. Vasudevan, Parag K. Lala, James Patrick Parkerson Self-Checking Carry-Select Adder Design Based on Two-Rail Encoding. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Amaury Nève, Helmut Schettler, Thomas Ludwig 0004, Denis Flandre Power-delay product minimization in high-performance 64-bit carry-select adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Massimo Alioto, Gaetano Palumbo, Massimo Poli A gate-level strategy to design Carry Select Adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
28Woopyo Jeong, Kaushik Roy 0001 Robust high-performance low-power carry select adder. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28M.-J. Liao, C.-F. Su, Alex C.-Y. Chang, Allen C.-H. Wu A carry-select-adder optimization technique for high-performance Booth-encoded Wallace-tree multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Amaury Nève, Denis Flandre Design of a Branch-Based Carry-Select Adder IP Portable in 0.25 µm Bulk and Silicon-On-Insulator CMOS Technologies. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
28M. Shamanna, Sterling R. Whitaker A Carry Select Adder with Conflict Free Bypass Circuit. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Akhilesh Tyagi A reduced area scheme for carry-select adders. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
28Orest J. Bedrij Carry-Select Adder. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
22Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo Fast Low-Power 64-Bit Modular Hybrid Adder. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Rumi Zhang, Wei Wang 0003, Konrad Walus, Graham A. Jullien Performance comparison of quantum-dot cellular automata adders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yajuan He, Chip-Hong Chang A low-power, high-speed RB-to-NB converter for fast redundant binary multiplier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Roger Endrigo Carvalho Porto, Luciano Volcan Agostini Project Space Exploration on the 2-D DCT Architecture of a JPEG Compressor Directed to FPGA Implementation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Oscal T.-C. Chen, Robin R.-B. Sheen, S. Wang A low-power adder operating on effective dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Mircea R. Stan Synchronous Up/Down Counter with Clock Period Independent of Counter Size. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF up/down counters, constant time counters, prescalers
16Peter Celinski, Said F. Al-Sarawi, Derek Abbott, Sorin Cotofana, Stamatis Vassiliadis Logical Effort Based Design Exploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Sheng Sun, Carl Sechen Post-layout comparison of high performance 64b static adders in energy-delay space. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Peter Celinski, Sorin Cotofana, Derek Abbott A-DELTA: A 64-bit High Speed, Compact, Hybrid Dynamic-CMOS/Threshold-Logic Adder. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Hoang Q. Dao, Vojin G. Oklobdzija Performance Comparison of VLSI Adders Using Logical Effort. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Mauro Olivieri Design of synchronous and asynchronous variable-latency pipelined multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Shanzhen Xing, William W. H. Yu FPGA Adders: Performance Evaluation and Optimal Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Uming Ko, T. Balsara, Wai Lee Low-power design techniques for high-performance CMOS adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
8Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Fatemeh Kashfi, Sied Mehdi Fakhraie, Saeed Safari A 65nm 10GHz pipelined MAC structure. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Bipul Chandra Paul, Shinobu Fujita, Masaki Okajima ROM based logic (RBL) design: High-performance and low-power adders. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 106 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license