The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
72David Sheldon, Frank Vahid Making good points: application-specific pareto-point generation for design space exploration using statistical methods. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable platform, fpga, low-power, exploration, speedup, pruning, pareto-optimal, design of experiments
68Sebastien Vagnier, Hassane Essafi, Alain Mérigot A Configurable Processor Network for Document Management. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable processor network, European STRETCH project, imaged document, component extraction, configurable network, information retrieval, document management, configurable processor, content-based information retrieval
64Roman L. Lysecky, Frank Vahid A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA fabric, self-improving chips, synthesis, reconfigurable computing, dynamic optimization, system-on-a-chip, platforms, codesign, Hardware/software partitioning, just-in-time compilation, configurable logic, place and route, warp processors
64Carl Ebeling, Darren C. Cronquist, Paul Franklin Configurable computing: the catalyst for high-performance architectures. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high-performance architectures, cost-performance, application-specific computation pipelines, static configuration, FPGAs, computational complexity, computer architectures, configurable computing, dynamic control, RaPiD, application-specific hardware
60Jing-Reng Huang, Chee-Kian Ong, Kwang-Ting Cheng, Cheng-Wen Wu An FPGA-based re-configurable functional tester for memory chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF re-configurable tester, memory chips, re-configurable hardware platform, prototype tester, compiler, integrated circuit testing, reconfigurable architectures, integrated memory circuits
58S. Kumar, Luiz Pires, Subburajan Ponnuswamy, C. Nanavati, J. Golusky, M. Vojta, S. Wadi, D. Pandalai, Henk A. E. Spaanenburg A benchmark suite for evaluating configurable computing systems--status, reflections, and future directions. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF adaptive computing ssytems, configurable computing systems, stressmarks, specifications, benchmarks, methodology
56Jingzhao Ou, Viktor K. Prasanna Design space exploration using arithmetic-level hardware--software cosimulation for configurable multiprocessor platforms. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, design space exploration, processor, cosimulation
53Brent E. Nelson, Brad L. Hutchings, Michael J. Wirthlin Design, Debug, Deploy: The Creation of Configurable Computing Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CAD for configurable computing, FPGA, design environments, configurable computing
53Ann Gordon-Ross, Jeremy Lau, Brad Calder Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache tuning, phase prediction, phase-based reconfiguration, phase-based tuning, caches, configurable caches, configurable architecture
51Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt Fast configurable-cache tuning with a unified second-level cache. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache exploration, embedded systems, low power, low energy, cache optimization, architecture tuning, cache hierarchy, configurable cache
51Fei Li 0003, Yan Lin 0001, Lei He 0001 FPGA power reduction using configurable dual-Vdd. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, low power, configurable, power efficient, dual-Vdd
49Gorn Tepvorachai, Christos A. Papachristou A Configurable FIR Filter Scheme based on an Adaptive Multilayer Network Structure. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Yajun Ran, Malgorzata Marek-Sadowska Designing via-configurable logic blocks for regular fabric. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Florian Gottschalk, Wil M. P. van der Aalst, Monique H. Jansen-Vullers SAP WebFlow Made Configurable: Unifying Workflow Templates into a Configurable Model. Search on Bibsonomy BPM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Workflow Template, Reference Model, Process Configuration
47P. Greve, J. Hoffman, R. E. Smith Using Type Enforcement to Assure a Configurable Guard. Search on Bibsonomy ACSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF type enforcement, configurable guard, guard systems, administrator configurable guard, input channel, connected output channel, assured processes, assurance arguments, operational guards, pipeline, electronic mail, electronic mail, trusted components
45Grant Martin Multi-Processor SoC-Based Design Methodologies Using Configurable and Extensible Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPSoC, programming models, dataflow, instruction-set extension, multiprocessor system-on-chip, configurable processor, electronic system-level design
45Takuji Narumi, Tomohiro Akagawa, Young Ah Seong, Michitaka Hirose Absolute field: proposal for a re-configurable spatial structure. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2008 DBLP  DOI  BibTeX  RDF re-configurable spatial structure, spatial structures in memory, physical computing, art installation
45Pablo Viana, Ann Gordon-Ross, Eamonn J. Keogh, Edna Barros, Frank Vahid Configurable cache subsetting for fast cache tuning. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF configurable cache tuning, low energy, cache optimization
45Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang Instruction set extension with shadow registers for configurable processors. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shadow register, compilation, ASIP, configurable processor
45Jason Cong, Yiping Fan, Guoling Han, Zhiru Zhang Application-specific instruction generation for configurable processor architectures. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF binate covering, compilation, ASIP, technology mapping, configurable processor
45Zhen Luo, Margaret Martonosi, Pranav Ashar An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI CAD Layout Design Rule Checking. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Scanline Algorithm, Configurable Hardware, FPGA, DRC
43Xiaofang Wang, Sotirios G. Ziavras A Configurable Multiprocessor and Dynamic Load Balancing for Parallel LU Factorization. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, parallel processing, multiprocessor, dynamic load balancing, LU factorization
43Chien-In Henry Chen, Kiran George Automated Synthesis of Configurable Two-dimensional Linear Feedback Shifter Registers for Random/Embedded Test Patterns. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Greg Stitt, Brian Grattan, Jason R. Villarreal, Frank Vahid Using On-Chip Configurable Logic to Reduce Embedded System Software Energy. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Thirunavukkarasu Sivaharan, Gordon S. Blair, Geoff Coulson GREEN: A Configurable and Re-configurable Publish-Subscribe Middleware for Pervasive Computing. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Christian Siemers, Volker Winterstein The Universal Configurable Block/Machine-- An Approach for a Configurable SoC-Architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF block-based architecture, reconfigurable computing, space-time mapping
40Zhi Guo, Betul Buyukkurt, John Cortes, Abhishek Mitra, Walid A. Najjar A Compiler Intermediate Representation for Reconfigurable Fabrics. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, VHDL, Configurable computing, Intermediate representation
38Chih-Hao Sun, Ka-Hang Lok, You-Ming Tsao, Chia-Ming Chang, Shao-Yi Chien CFU: multi-purpose configurable filtering unit for mobile multimedia applications on graphics hardware. Search on Bibsonomy High Performance Graphics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable filtering unit, texture filtering, streaming architecture
38Matthew Collin Jordan, Ramachandran Vaidyanathan Configurable decoders with application in fast partial reconfiguration of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, decoder, look-up table, configurable logic
38Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Instruction set synthesis with efficient instruction encoding for configurable processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISA customization and specialization, bitwidth-economical, Application-specific instruction set processor (ASIP), configurable processor, instruction encoding
38Jeffrey M. Arnold The Architecture and Development Flow of the S5 Software Configurable Processor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software configurable processor, reconfigurable architectures, embedded computing, instruction set extension
38Hsin-hung Lin, Chih-wen Hsueh COS: A Configurable OS for Embedded SoC Systems. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Configurable OS, Embedded OS, Service-Oriented Architecture, SoC
38Hongyi Wu, Chong Wang, Nian-Feng Tzeng Novel self-configurable positioning technique for multihop wireless networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF GPS-free, positioning techniques, wireless networks, self-configurable
38Chuanjun Zhang, Frank Vahid, Walid A. Najjar A highly configurable cache for low energy embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, memory hierarchy, low energy, architecture tuning
38John Wei, Chris Rowen Implementing low-power configurable processors: practical options and tradeoffs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power
38Yajun Ran, Malgorzata Marek-Sadowska On designing via-configurable cell blocks for regular fabrics. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF via configurable, layout, regular fabric
38Renate Henftling, Wolfgang Ecker, Andreas Zinn, Martin Zambaldi, Matthias Bauer 0003 An Approach for Mixed Coarse-Granular and Fine-Granular Re-Configurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF re-configurable architecture, hardware testbenches, acceleration of functional simulation, coarse-granular, fine-granular
38Marinés Puig-Medina, Gülbin Ezer, Pavlos Konas Verification of configurable processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable processor cores, system-on-chip, test generation, design verification, co-simulation, coverage analysis
37Brian Robinson, Lee J. White Testing of User-Configurable Software Systems Using Firewalls. Search on Bibsonomy ISSRE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Guy Gogniat, Wayne P. Burleson, Lilian Bossuet Configurable Computing for High-Security/High-Performance Ambient Systems. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Eivind Naess, Deborah A. Frincke, A. David McKinnon, David E. Bakken Configurable Middleware-Level Intrusion Detection for Embedded Systems. Search on Bibsonomy ICDCS Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Krishna Sekar, Kanishka Lahiri, Sujit Dey Configurable Platforms With Dynamic Platform Management: An Efficient Alternative to Application-Specific System-on-Chips. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Mikko Raatikainen, Timo Soininen, Tomi Männistö, Antti Mattila A Case Study of Two Configurable Software Product Families. Search on Bibsonomy PFE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Juha-Pekka Soininen, Antti Pelkonen, Jussi Roivainen Configurable Memory Organisation for Communication Applications. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37David M. Brooks, Margaret Martonosi Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware. Search on Bibsonomy CANPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Xiao Qu, Myra B. Cohen, Gregg Rothermel Configuration-aware regression testing: an empirical study of sampling and prioritization. Search on Bibsonomy ISSTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF regression testing, prioritization, combinatorial interaction testing, configurable software
32Chia Hsiang Hsu, Cheng-Juei Yu, Sheng-De Wang Energy Saving Based on CPU Voltage Scaling and Hardware Software Partitioning. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Peter Wintermayr, Reiner W. Hartenstein, Heinrich Meyr, Steve Leibson Flexibility and low power: a contradiction in terms? Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ted Hills Response to A Note on Structured Interrupts. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
32Song Guo 0001, Zhuzhong Qian, Sanglu Lu A general energy optimization model for wireless networks using configurable antennas. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF configurable antenna, mathematical formulation, energy efficiency
32Yu-Chen Chen, Hou-Yu Pang, Kuen-Wen Lin, Rung-Bin Lin, Hui-Hsiang Tung, Shih-Chieh Su Via configurable three-input lookup-tables for structured ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF via-configurable, layout, look-up-table, vlsi, structured ASIC
32Murat Yuksel, Jayasri Akella, Shivkumar Kalyanaraman, Partha Dutta Free-space-optical mobile ad hoc networks: Auto-configurable building blocks. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Free space optical communication, Angular diversity, Auto-configurable
32Guohan Lu, Yunfeng Shi, Chuanxiong Guo, Yongguang Zhang CAFE: a configurable packet forwarding engine for data center networks. Search on Bibsonomy PRESTO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable packet forwarding engine, data center networking, netfpga
32Nastaran Baradaran, Pedro C. Diniz A compiler approach to managing storage and memory bandwidth in configurable architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-level hardware synthesis, storage allocation and management, Compiler analysis, configurable architectures
32Eero Aho, Jarno Vanne, Timo D. Hämäläinen Configurable Data Memory for Multimedia Processing. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stride access, configurable, parallel memory, skewing scheme, SIMD processing
32Anastasios D. Doulamis, Dimitrios I. Kosmopoulos, Manolis Sardis, Theodora A. Varvarigou An architecture for a self configurable video supervision. Search on Bibsonomy AREA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF self configurable system, visual surveillance
32Chen Huang 0005, David Sheldon, Frank Vahid Dynamic tuning of configurable architectures: the AWW online algorithm. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, cache, online algorithms, dynamic optimization, tuning, configurable architecture, runtime configuration
32Ricardo E. Gonzalez A Software-Configurable Processor Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software-configurable processor
32Matti A. Hiltunen, François Taïani, Richard D. Schlichting Reflections on aspects and configurable protocols. Search on Bibsonomy AOSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF extensible software, configurable software
32Steve Leibson, James Kim Configurable Processors: A New Era in Chip Design. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer silicon lithography, microprocessors, multiprocessor systems, MPSoCs, configurable processors
32Sadik Ezer, Scott Johnson Smart diagnostics for configurable processor verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded test-bench control, coverage, functional verification, diagnostics, configurable processors
32Dilma Da Silva, Karsten Schwan, Greg Eisenhauer CTK: Configurable Object Abstractions for Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance objects, object fragmentation, complex parallel programming, library operating systems, dynamic adaptation, Configurable systems
32Xiaoling Sun, Jian Xu, Pieter M. Trouborst Testing Xilinx XC4000 Configurable Logic Blocks with Carry Logic Modules. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF configurable logic blocks, fault diagnosis, BIST, FPGA testing
32Xiaojie Dong, Fan Du, Lionel M. Ni DWINS: A Dynamically Configurable Web-Based Information System. Search on Bibsonomy WECWIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Web-based Information System and Active System, Dynamically Configurable
32Nina T. Bhatti, Matti A. Hiltunen, Richard D. Schlichting, Wanda Chiu Coyote: A System for Constructing Fine-Grain Configurable Communication Services. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF x-kernal, configurable sevices, event handlers, event-driven execution, microprotocols, mobile computing, protocols, multicast, modularity, customization, remote procedure call, membership
32Lan Zhao, D. M. H. Walker, Fabrizio Lombardi Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF IDDQ Tes t, Configurable Logic Blocks, FPGA, Testing, Bridging Fault, Programming Phase
30Marius Nita, David Notkin White-box approaches for improved testing and analysis of configurable software systems. Search on Bibsonomy ICSE Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Thomas Jacob Koickal, Luiz Carlos Gouveia, Alister Hamilton Bio-inspired Event Coded Configurable Analog Circuit Block. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Adam Prout, Joanne M. Atlee, Nancy A. Day, Pourya Shaker Semantically Configurable Code Generation. Search on Bibsonomy MoDELS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Shih-Chang Hsia, Si-Hong Wang, Ying-Chao Chou A Configurable IP for Mode Decision of H.264/AVC Encoder. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Lipo Chan, Shanika Karunasekera Designing Configurable Publish-Subscribe Scheme for Decentralised Overlay Networks. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Rainer Leupers, Kingshuk Karuri, Stefan Kraemer, Manas Pandey A design flow for configurable embedded processors based on optimized instruction set extension synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Rong-Jian Chen, Yi-Te Lai, Jui-Lin Lai Architecture design and VLSI hardware implementation of image encryption/decryption system using re-configurable 2D Von Neumann cellular automata. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Carl Ebeling Configurable Computing Platforms - Promises, Promises. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Patrick Lysaght, P. A. Subrahmanyam Guest Editors' Introduction: Advances in Configurable Computing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Masaharu Imai, Akira Kitajima Verification Challenges in Configurable Processor Design with ASIP Meister. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Zhi Yang, Jiajun Bu, Chun Chen 0001, Linjian Mo Configurable Complexity-Bounded Motion Estimation for Real-Time Video Encoding. Search on Bibsonomy ACIVS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Bill Pontikakis, François R. Boyer, Yvon Savaria Performance Improvement of Configurable Processor Architectures Using a Variable Clock Period. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Krishna Sekar, Kanishka Lahiri, Sujit Dey Dynamic Platform Management for Configurable Platform-Based System-on-Chips. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ASIC
30Takayuki Shiga, Mizuho Iwaihara, Yahiko Kambayashi Designing Web Menu for Configurable Goods. Search on Bibsonomy EC-Web The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Chien-In Henry Chen, Kiran George Configurable two-dimensional linear feedback shifter registers for deterministic and random patterns [logic BIST]. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Chuanjun Zhang, Frank Vahid A power-configurable bus for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Michael J. Wirthlin, Steve Morrison, Paul S. Graham, Brian Bray Improving the Performance and Efficiency of an Adaptive Amplification Operation Using Configurable Hardware. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik Using configurable computing to accelerate Boolean satisfiability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Peter Zipf, Claude Stötzler, Manfred Glesner A Configurable Pipelined State Machine as a Hybrid ASIC and Configurable Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Seungrok Jung, Jungsoo Kim, Sangkwon Na, Chong-Min Kyung Energy-aware instruction-set customization for real-time embedded multiprocessor systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DVFS, instruction set extensions, configurable processors
28Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A Self-Tuning Cache Architecture for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
28Krister Brink, Magnus Olsson, Gunnar S. Bolmsjö Increased Autonomy in Industrial Robotic Systems: A Framework. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF event based control, task oriented programming, configurable corrections, reactive re-planning, autonomy
26Marcello La Rosa, Marlon Dumas, Arthur H. M. ter Hofstede, Jan Mendling, Florian Gottschalk Beyond Control-Flow: Extending Business Process Configuration to Roles and Objects. Search on Bibsonomy ER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF object flow, Process model, configuration, resource
26Chul Kim, A. M. Rassau, Mike Myung-Ok Lee 3D-SoftChip: a novel 3D vertically integrated adaptive computing system (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cristinel Ababei, Hushrav Mogal, Kia Bazargan 3D FPGAs: placement, routing, and architecture evaluation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jan Recker, Michael Rosemann, Wil M. P. van der Aalst, Jan Mendling On the Syntax of Reference Model Configuration - Transforming the C-EPC into Lawful EPC Models. Search on Bibsonomy Business Process Management Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Uwe Zdun Dynamically generating web application fragments from page templates. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF object-Oriented Scripting, web engineering, dynamic software architecture
26Wesley J. Landaker, Michael J. Wirthlin, Brad L. Hutchings Multitasking Hardware on the SLAAC1-V Reconfigurable Computing System. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Local Interconnect Resources of SRAM-Based FPGA's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VLSI, test, ATPG
26Maya B. Gokhale, Janice M. Stone, Edson Gomersall Co-Synthesis to a Hybrid RISC/FPGA Architecture. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Kang-Ngee Chia, Hea Joung Kim, Shane Lansing, William H. Mangione-Smith, J. Villasensor High-performance automatic target recognition through data-specific VLSI. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Jürgen Teich, Lothar Thiele Control generation in the design of processor arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Kevin Kratzer, Axel Böttcher Using an RTSJ-compatible MVC pattern as basis for configurable event-driven real-time software. Search on Bibsonomy JTRES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time design patterns, real-time programming idioms, real-time Java, RTSJ, configurable software
26Alex Solomatnikov, Amin Firoozshahian, Ofer Shacham, Zain Asgar, Megan Wachs, Wajahat Qadeer, Stephen Richardson, Mark Horowitz Using a configurable processor generator for computer architecture prototyping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computer architecture prototyping, configurable/extensible processor generator, memory system architecture, reconfigurable architecture, VLSI design
Displaying result #1 - #100 of 4812 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license