The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase digital-CMOS (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (15) 1994-1996 (21) 1997-1999 (33) 2000 (17) 2001 (19) 2002 (20) 2003 (26) 2004 (27) 2005 (40) 2006 (21) 2007 (19) 2008 (39) 2009 (20) 2010 (15) 2011-2012 (20) 2013-2014 (22) 2015-2016 (19) 2017-2020 (17) 2021-2024 (5)
Publication types (Num. hits)
article(140) inproceedings(274) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 121 occurrences of 98 keywords

Results
Found 415 publication records. Showing 415 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Antti Mäntyniemi, Timo Rahkonen, Juha Kostamovaara A high resolution digital CMOS time-to-digital converter based on nested delay locked loops. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Nishant Chandra, Apoorva Kumar Yati, A. B. Bhattacharyya Extended-Sakurai-Newton MOSFET Model for Ultra-Deep-Submicrometer CMOS Digital Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Robert Bogdan Staszewski, Khurram Muhammad, Dirk Leipold Digital RF Processing Techniques for SoC Radios, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Jeff Y. F. Hsieh, Teresa H.-Y. Meng Low-Power Parallel Video Compression Architecture for a Single-Chip Digital CMOS Camera. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Tonia G. Morris, Denise M. Wilson, Stephen P. DeWeerth Analog VLSI circuits for manufacturing inspection. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog VLSI circuits, manufacturing inspection, programmable structuring elements, oriented edge detection, high speed preprocessors, serial/parallel processing, focal-plane processing, vertical bipolar phototransistors, digital CMOS process, adaptive image threshold, 2.0 micron, computer vision, VLSI, edge detection, mathematical morphology, machine vision, manufacture, morphological operations, selective attention, massively parallel architectures, CMOS analogue integrated circuits, automatic optical inspection, focal planes, analogue processing circuits
22Maitham Shams, Mohamed I. Elmasry Delay Optimization of CMOS Logic Circuits Using Closed-Form Expressions. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Zeynep Toprak Deniz, Yusuf Leblebici Design and realization of a modular 200 MSample/s 12-bit pipelined A/D converter block using deep-submicron digital CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Kazuya Shimizu, Masato Kaneta, HaiJun Lin, Haruo Kobayashi 0001, Nobukazu Takai, Masao Hotta A Time-to-Digital Converter with small circuitry. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Saleh Abdel-Hafeez, Shadi M. Harb, William R. Eisenstadt High speed digital CMOS divide-by-N fequency divider. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Maitham Shams, Mohamed I. Elmasry A formulation for quick evaluation and optimization of digital CMOS circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Haluk Konuk, F. Joel Ferguson Oscillation and sequential behavior caused by opens in the routing in digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Atila Alvandpour, Per Larsson-Edefors, Christer Svensson Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF short-circuit current, power consumption, power estimation
18Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
18Tonia Morris, Erica Fletcher, Cyrus Afghahi, Sami Issa, Kevin Connolly, Jean-Charles Korta A Column-based Processing Array for High-speed Digital Image Processing. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Subhadeep Banik, Daibashish Gangopadhyay, T. K. Bhattacharyya A Low Power 1.8 V 4-Bit 400-MHz Flash ADC in 0.18µ Digital CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chengming He, Degang Chen 0001, Randall L. Geiger A low-voltage compatible two-stage amplifier with ≥120 dB gain in standard digital CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Bedabrata Pain, Bruce Hancock, Thomas Cunningham, Guang Yang 0003, Suresh Seshadri, Julie Heynssens, Chris Wrigley CMOS Digital Imager Design from a System-on-a-chip Perspective. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17K. Wayne Current Design of a Quaternary Latch Circuit Using a Binary CMOS RS Latch. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF quaternary, memory, circuit, latch
16Kurt Schweiger, Horst Zimmermann Low-Voltage Low-Power Highly Linear Down-Sampling Mixer in 65nm Digital CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Enrico Macii, Letícia Maria Veiras Bolzani, Andrea Calimera, Alberto Macii, Massimo Poncino Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Sudhakar M. Reddy, Irith Pomeranz, Chen Liu On tests to detect via opens in digital CMOS circuits. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF constrained stuck-at tests, test generation, DFT, open defects
16Fikret Dülger, Sher Jiun Fang, Ahmed Nader Mohieldin, Paul Fontaine, Abdellatif Bellaouar, Michel Frechette A quad-band receiver for GSM/GPRS/EDGE in 90 nm digital CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Manuel Delgado-Restituto, Jesús Ruiz-Amaya, José M. de la Rosa 0001, Juan Francisco Fernández-Bootello, Leila Díez, Rocío del Río Fernández, Ángel Rodríguez-Vázquez An embedded 12-bit 80MS/s A/D/A interface for power-line communications in 0.13µm pure digital CMOS technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Terje N. Andersen, Atle Briskemyr, Frode Telstø, Johnny Bjørnsen, Thomas E. Bonnerud, Bjørnar Hernes, Øystein Moldsvor A 97mW 110MS/s 12b Pipeline ADC Implemented in 0.18mum Digital CMOS. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Takahito Miyazaki, Masanori Hashimoto, Hidetoshi Onodera A performance comparison of PLLs for clock generation using ring oscillator VCO and LC oscillator in a digital CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Dongsheng Ma, Wing-Hung Ki, Chi-Ying Tsui Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Alain-Serge Porret, Thierry Melly, Eric A. Vittoz, Christian C. Enz Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, CMOS, low-voltage, RF, transceiver
16Payam Heydari, Massoud Pedram Analysis and Optimization of Ground Bounce in Digital CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16José Antonio Sainz, R. Muñoz, J. A. Maiz, L. A. Aguado, Miquel Roca 0001 A Crosstalk Sensor Implementation for Measuring Interferences in Digital CMOS VLSI Circuits. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Sensor, CMOS, Crosstalk, Digital
16Massoud Pedram, Qing Wu 0002 Battery-Powered Digital CMOS Design. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Bradley A. Minch, Paul E. Hasler A floating-gate technology for digital CMOS processes. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Uwe Hübner, Heinrich Theodor Vierhaus, Raul Camposano Partitioning and analysis of static digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Venkata S. Rangavajjhala, Bharat L. Bhuva, Sherra E. Kerns Statistical degradation analysis of digital CMOS IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16R. V. K. Pillai, Dhamin Al-Khalili, Asim J. Al-Khalili, S. Y. A. Shah A Low Power Approach to Floating Point Adder Design for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF digital-CMOS, power-consumption-model, VLSI, low-power-design, computer-arithmetic, floating-point, switching-activity
16Dejan Markovic, Borivoje Nikolic, Robert W. Brodersen Analysis and design of low-energy flip-flops. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF digital CMOS, VLSI, low-power design, flip-flops, low-voltage
16Nestoras Tzartzanis, William C. Athas Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low-power digital CMOS, adiabatic switching, clock-powered logic, energy recovery
16Janardhan H. Satyanarayana, Keshab K. Parhi, Leilei Song, Yun-Nan Chang Systematic analysis of bounds on power consumption in pipelined and non-pipelined multipliers. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipelined multipliers, nonpipelined multipliers, Baugh-Wooley multiplier, binary tree multiplier, Wallace tree multiplier, STDs, sub circuits, energy values, cubic dependence, word length, quadratic dependence, digital CMOS circuits, CMOS adder, low power arithmetic units, power consumption, power consumption, switching activity, state transition diagrams
16W. W. Bachmann, Sorin A. Huss Efficient algorithms for multilevel power estimation of VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Pui-Tak So, Cheong-Fat Chan, Chiu-sing Choy, Kong-Pang Pun Ramp voltage supply using adiabatic charging principle. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Josep Maria Margarit, Lluís Terés, Francisco Serra-Graells A Sub-µW fully programmable CMOS DPS for uncooled infrared fast imaging. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jussi-Pekka Jansson, Antti Mäntyniemi, Juha Kostamovaara A delay line based CMOS time digitizer IC with 13 ps single-shot precision. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Yijun Zhou, Jiren Yuan An 8-Bit, 100-MHz low glitch interpolation DAC. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Design of Variable Input Delay Gates for Low Dynamic Power Circuits. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan Low-voltage CMOS analog bootstrapped switch for sample-and-hold circuit: design and chip characterization. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Mehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White Adaptive sensor response correction using analog filter compatible with digital technology [load cell sensor applications]. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14F. Hashemi, Khayrollah Hadidi, Abdollah Khoei Design of a CMOS image sensor with pixel-level ADC in 0.35µm process. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Alex G. Dickinson, Bryan D. Ackland, El-Sayed Eid, David A. Inglis, Eric R. Fossum Standard CMOS active pixel image sensors for multimedia applications. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS active pixel image sensors, single chip video cameras, color filter array, document capture, 1024 pixel, multimedia, multimedia systems, CMOS integrated circuits, image sensors, transistors, video cameras, gain
13Chun-Chi Chen, Chao-Lieh Chen, Wei Fang, Yen-Chan Chu All-Digital CMOS Time-to-Digital Converter With Temperature-Measuring Capability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Elisa Sacco, Jorge Marin, Johan Vergauwen, Georges G. E. Gielen Controlled-Oscillator Optimization for Highly-Digital CMOS Time-Based Sensor-to-Digital Converter Architectures. Search on Bibsonomy SMACD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Siliang Hua, Donghui Wang, Leiou Wang, Yan Liu, Jiarui Li A PVT-insensitive all digital CMOS time-to-digital converter based on looped delay-line with extension scheme. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Takamoto Watanabe, Yu Hou, Masaya Miyahara, Akira Matsuzawa All-digital 0.016mm2 reconfigurable sensor-ADC using 4CKES-TAD in 65nm digital CMOS. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Jonathan Borremans, Kameswaran Vengattaramane, Vito Giannini, Björn Debaillie, Wim Van Thillo, Jan Craninckx A 86 MHz-12 GHz Digital-Intensive PLL for Software-Defined Radios, Using a 6 fJ/Step TDC in 40 nm Digital CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Jonathan Borremans, Kameswaran Vengattaramane, Kameswaran Giannini, Jan Craninckx A 86MHz-to-12GHz digital-intensive phase-modulated fractional-N PLL using a 15pJ/Shot 5ps TDC in 40nm digital CMOS. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Takamoto Watanabe, Tomohito Terasawa An all-digital ADC/TDC for sensor interface with TAD architecture in 0.18-µm digital CMOS. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Takamoto Watanabe, Shigenori Yamauchi, Tomohito Terasawa A 0.0027-mm2 9.5-bit 50-MS/s all-digital A/D converter TAD in 65-nm digital CMOS. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Srini Ramaswamy, J. Krishnan, Brett Forejt, J. Joy, M. Burns, Gangadhar Burra A High-Performance Digital-Input Class-D Amplifier with Direct Battery Connection in a 90nm Digital CMOS Process. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Young-Chan Jang, Jun-Hyun Bae, Hong-June Park A Digital CMOS PWCL With Fixed-Delay Rising Edge and Digital Stability Control. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Yat-Fong Yung, Amine Bermak A Digital CMOS Imager with Pixel Level Analog-to-digital Converter and Reconfigurable SRAM/Counter. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xavier Redondo, Jofre Pallares, Francisco Serra-Graells A 1.2V 130µA 10-bit MOS-Only Log-Domain Sigma Delta Modulator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mohammad Danaie, Hamed Aminzadeh, Sasan Naseh On the Linearization of MOSFET Capacitors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Rajarshi Mukhopadhyay, S. W. Yoon, Y. Park, Chang-Ho Lee, S. Nuttinck, Joy Laskar Investigation of inductors for digital Si-CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12A. Seedher, Preetam Tadeparthy, K. A. S. Satheesh, V. T. Anuroop Automated design of a 10-bit, 80MSPS WLAN DAC for linearity and low-area. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kris Tiri, David D. Hwang, Alireza Hodjat, Bo-Cheng Lai, Shenglin Yang, Patrick Schaumont, Ingrid Verbauwhede A side-channel leakage free coprocessor IC in 0.18µm CMOS for embedded AES-based cryptographic and biometric processing. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF security IC, encryption, smart card, side-channel attack, differential power analysis, countermeasure
12Gabriella Trucco, Giorgio Boselli, Valentino Liberali A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gabriella Trucco, Giorgio Boselli, Valentino Liberali An approach to computer simulation of bonding and package crosstalk in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
12Huseyin Dine, Franco Maloberti An 8-bit current mode ripple folding A/D converter. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12V. Boonsobhak, Apisak Worapishet A pixel-level ADC with improved performance trade-off for high-speed CMOS imagers. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hooman Farkhani, Mohammad Maymandi-Nejad, Manoj Sachdev A fully digital ADC using a new delay element with enhanced linearity. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hamed Aminzadeh, Mohammad Danaie, Reza Lotfi Design of high-resolution MOSFET-only pipelined ADCs with digital calibration. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Alistair Kitchen, Abdesselam Bouzerdoum, Amine Bermak Time Domain Analogue to Digital Conversion in a Digital Pixel Sensor Array. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jesper Steensgaard High-resolution mismatch-shaping digital-to-analog converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chua-Chin Wang, Yu-Tsun Chien, Ying-Pei Chen A practical load-optimized VCO design for low-jitter 5 V 500 MHz digital phase-locked loop. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Chun-Chi Chen, Chao-Lieh Chen, Yen-Chan Chu, Guan-Yu Lin An Area-Effective High-Resolution All-Digital CMOS Time-Domain Smart Temperature Sensor. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Ang Yuan, Huidong Zhao, Xiao Wang, Zhi Li, Shushan Qiao An Ultra-Low Leakage and Wide-Range Voltage Level Shifter for Low-Power Digital CMOS VLSIs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Woojun Choi, Jan A. Angevare, Injun Park, Kofi A. A. Makinwa, Youngcheol Chae A 0.9-V 28-MHz Highly Digital CMOS Dual-RC Frequency Reference With ±200 ppm Inaccuracy From -40 °C to 85 °C. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Fuyue Qian, Ye Li, Xiaowei Zhang, Jianxiong Xi, Lenian He An all-digital CMOS temperature sensor with a wide supply voltage range. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Chunfeng Bai, Donghai Qiao, Heming Zhao A Compact Single-Transistor Current Source for Analog Design in Nanometer Digital CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
10Jagdeep Kaur Sahani, Anil Singh, Alpana Agarwal A 2.3 mW Multi-Frequency Clock Generator with -137 dBc/Hz Phase Noise VCO in 180 nm Digital CMOS Technology. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Hamed Aminzadeh, Mohammad Mahdi Valinezhad 0.7-V supply, 21-nW All-MOS voltage reference using a MOS-Only current-driven reference core in digital CMOS. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Mst Shamim Ara Shawkat, Nicole McFarlane A Digital CMOS Silicon Photomultiplier Using Perimeter Gated Single Photon Avalanche Diodes With Asynchronous AER Readout. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Seyed Mahmoud Anisheh, Hamed Abbasizadeh, Hossein Shamsi, Chitra Dadkhah, Kang-Yoon Lee 98-dB Gain Class-AB OTA With 100 pF Load Capacitor in 180-nm Digital CMOS Process. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Di Li 0003, Chunlong Fei, Xiaopeng Wu, Yintang Yang A 6-bit digital CMOS variable gain attenuator with large dynamic range and high linearity-in-dB for ultrasound imaging applications. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Mantas Sakalas, Jens Wagner, Niko Joram, Frank Ellinger Design Approach for a Broadband Class-D Power Amplifier for Low Power Application in a 28 nm Digital CMOS Technology. Search on Bibsonomy PRIME The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Daniel S. Truesdell, Benton H. Calhoun A 640 pW 22 pJ/sample Gate Leakage-Based Digital CMOS Temperature Sensor with 0.25°C Resolution. Search on Bibsonomy CICC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Dang Cong Thinh, Le Thanh Toi, Hoang Trang Technology Education Challenges and Solution to Design a Process Design Kit for Digital CMOS Technology in Vietnam. Search on Bibsonomy ISCIT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Kang-Lun Chiu, Hsun-Wei Chan, Wei-Che Lee, Chang-Ting Wu, Henry Lopez Davila, Hung-Chih Liu, Meng-Yuan Huang, Chun-Yi Liu 0001, Tsai-Hua Lee, Hsin-Ting Chang, Chih-Wei Jen, Nien-Hsiang Chang, Pei-Yun Tsai, Yen-Cheng Kuan, Shyh-Jye Jou A Millimeter Wave Digital CMOS Baseband Transceiver for Wireless LAN Applications. Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Ankur Pokhara, Biswajit Mishra, Purvi Patel All-Digital CMOS On-Chip Temperature Sensor with Time-Assisted Analytical Model. Search on Bibsonomy VDAT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Tong Fang, Runjiang Dou, Liyuan Liu, Jian Liu 0021, Nanjian Wu A 25 fps 32 × 24 Digital CMOS Terahertz Image Sensor. Search on Bibsonomy A-SSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Gustavo Della Colletta, Luis Henrique de Carvalho Ferreira, Sameer R. Sonkusale, Giseli V. Rocha A 20-nW 0.25-V Inverter-Based Asynchronous Delta-Sigma Modulator in 130-nm Digital CMOS Process. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Anil Singh, Veena Rawat, Alpana Agarwal Low-power 10-bit 100 MS/s pipelined ADC in digital CMOS technology. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Shaan Sengupta, Hyunkyu Ouh, Matthew L. Johnston An all-digital CMOS ambient light sensor using a single photon avalanche diode. Search on Bibsonomy IEEE SENSORS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Rodrigo A. S. Braga, Luis Henrique de Carvalho Ferreira, Gustavo Della Colletta, Odilon O. Dutra Calibration-less Nauta OTA operating at 0.25-V power supply in a 130-nm digital CMOS process. Search on Bibsonomy LASCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Takao Oshita, Joseph Shor, David E. Duarte, Avner Kornfeld, George L. Geannopoulos, Jonathan Douglas, Nasser A. Kurd A Compact First-Order ΣΔ Modulator for Analog High-Volume Testing of Complex System-on-Chips in a 14 nm Tri-Gate Digital CMOS Process. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Hans G. Kerkhoff, Hassan Ebrahimi Investigation of Intermittent Resistive Faults in Digital CMOS Circuits. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Brian Aull Geiger-Mode Avalanche Photodiode Arrays Integrated to All-Digital CMOS Circuits. Search on Bibsonomy Sensors The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Thomas Polzer, Andreas Steininger A general approach for comparing metastable behavior of digital CMOS gates. Search on Bibsonomy DDECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Xijiang Lin, Sudhakar M. Reddy, Janusz Rajski Transistor stuck-on fault detection tests for digital CMOS circuits. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Kiichi Niitsu, Atsuki Kobayashi, Yudai Ogawa, Matsuhiko Nishizawa, Kazuo Nakazato Design of an energy-autonomous, disposable, supply-sensing biosensor using bio fuel cell and 0.23-V 0.25-µm zero-Vth all-digital CMOS supply-controlled ring oscillator with inductive transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Asli Yelkenci, Okan Zafer Batur, Baykal Sarioglu Ultra low power all-digital CMOS sensor read out circuit for optically powered biomedical systems. Search on Bibsonomy CISP-BMEI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Hassan Ebrahimi, Alireza Rohani, Hans G. Kerkhoff Detecting intermittent resistive faults in digital CMOS circuits. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 415 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license