The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase low-voltage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (22) 1995 (26) 1996 (22) 1997 (30) 1998 (53) 1999 (77) 2000 (60) 2001 (90) 2002 (111) 2003 (132) 2004 (96) 2005 (132) 2006 (137) 2007 (126) 2008 (150) 2009 (112) 2010 (111) 2011 (119) 2012 (131) 2013 (138) 2014 (132) 2015 (149) 2016 (145) 2017 (151) 2018 (152) 2019 (181) 2020 (160) 2021 (151) 2022 (139) 2023 (135) 2024 (33)
Publication types (Num. hits)
article(1282) book(4) data(4) incollection(4) inproceedings(2092) phdthesis(17)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 374 occurrences of 222 keywords

Results
Found 3403 publication records. Showing 3403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
62Omid Mirmotahari, Yngvar Berg Low Voltage Design against Power Analysis Attacks. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Voltage (LV), Floating-Gate (FG) and supply current analysis, Differential Power Analysis (DPA), Ultra Low Voltage (ULV)
61Luis Henrique de Carvalho Ferreira, Tales Cleber Pimenta, Robson L. Moreno, Wilhelmus A. M. Van Noije Ultra low-voltage ultra low-power CMOS threshold voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, CMOS, low voltage, threshold voltage, voltage reference
59Wanli Jiang, Eric Peterson Performance Comparison of VLV, ULV, and ECR Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF very low voltage test, dynamic current test, test threshold, test effectiveness, test efficiency
58Jean Michel Daga, E. Ottaviano, Daniel Auvergne Temperature Effect on Delay for Low Voltage Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temperature effect, derating, Low power, delay, Low voltage
58Erik J. Mentze, Kevin M. Buck, Herbert L. Hess, David Cox, Mohammad M. Mojarradi A Low Voltage to High Voltage Level Shifter in a Low Voltage, 0.25 µm, PD SOI Process. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Qadeer Ahmad Khan, Sanjay Kumar Wadhwa, Kulbhushan Misri A Low Voltage Switched-Capacitor Current Reference Circuit with low dependence on Process, Voltage and Temperature. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Cosmin Popa Low-Voltage Low-Power Curvature-Corrected Voltage Reference Circuit Using DTMOSTs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
55Liqiong Wei, Kaushik Roy 0001, Vivek De Low Voltage Low Power CMOS Design Techniques for Deep Submicron ICs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low voltage low power, multiple threshold voltages, multiple supply voltages and leakage control
54J. Veerendra Kumar, K. Radhakrishna Rao A Low-Voltage Low Power CMOS Companding Filter. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Carlos Dualibe, Pablo A. Petrashin, Luis E. Toledo, Walter J. Lancioni New low-voltage electrically tunable triode-MOSFET transconductor and its application to low-frequency Gm-C filtering. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Gm-C filter, analog CMOS, low-frequency, transconductor, low-power, low-voltage, instrumentation amplifier
51M. Ali-Bakhshian, K. Sadeghi A novel continuous-time common-mode feedback for low-voltage switched-OPAMP. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMFB, delta-sigma, switched-OPAMP, low-voltage, continuous-time
51Christian Jesús B. Fayomi, Gilson I. Wirth, Jaime Ramírez-Angulo, Akira Matsuzawa "The flipped voltage follower"-based low voltage fully differential CMOS sample-and-hold circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Sandip Kundu, Piet Engelke, Ilia Polian, Bernd Becker 0001 On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Temperature testing, Resistive defects, Early-life failures, Low-voltage testing
50Rajesh Garg, Gagandeep Mallarapu, Sunil P. Khatri A Single-supply True Voltage Level Shifter. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Ferdinando Bedeschi, Edoardo Bonizzoni, Andrea Fantini, Claudio Resta, Guido Torelli A low-power low-voltage MOSFET-only voltage reference. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Kuo-Hsing Cheng, Shun-Wen Cheng, Che-Yu Liao 64-bit Low Threshold Voltage High-Speed Conditional Carry Adder by Complementary Pass-Transistor Logi. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CPL, conditional sum adder, low-threshold voltage, differential-end, VLSI design, low-voltage
48Piet Engelke, Ilia Polian, Michel Renovell, Sandip Kundu, Bharath Seshadri, Bernd Becker 0001 On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Zhiyu Liu, Volkan Kursun Robust Dynamic Node Low Voltage Swing Domino Logic with Multiple Threshold Voltages. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Voltage Swing, Gate Oxide Leakage, Domino Logic, Subthreshold Leakage, Dual Threshold Voltage
47Ming-Dou Ker, Hung-Tai Liao Design of Mixed-Voltage Crystal Oscillator Circuit in Low-Voltage CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Erik J. Mentze, Herbert L. Hess, Kevin M. Buck, T. G. Windley A Scalable High-Voltage Output Driver for Low-Voltage CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Juan José Carrillo, Elkim Roa, José Vieira, Wilhelmus A. M. Van Noije A low-voltage bandgap reference source based on the current-mode technique. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS voltage reference, temperature coefficient, analog circuits, low voltage
46Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Enabling ultra low voltage system operation by tolerating on-chip cache failures. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, low voltage operation, dynamic voltage scaling
45Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman High Input Voltage Step-Down DC-DC Converters for Integration in a Low Voltage CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44J. Veerendra Kumar, K. Radhakrishna Rao A low-voltage low power square-root domain filter. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Bradley A. Minch Low-Voltage Wilson Current Mirrors in CMOS. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Andre Vilas Boas, Jefferson B. D. Soldera, Alfredo Olmos A 1.8V supply multi-frequency digitally trimmable on-chip IC oscillator with low-voltage detection capability. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bandgap, low-voltage detector, relaxation, oscillator, trimming
43Jincheol Yoo, Kyusun Choi, Jahan Ghaznavi CMOS flash analog-to-digital converter for high speed and low voltage applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TIQ comparator, fat tree encoder, flash ADC, analog-to-digital converter, low voltage, high speed
43Jonathan T.-Y. Chang, Edward J. McCluskey Quantitative analysis of very-low-voltage testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF very-low-voltage testing, static CMOS chips, supply voltage, rated conditions, early-life failures, test conditions, test speed, VLSI, VLSI, integrated circuit testing, CMOS integrated circuits, failure analysis, quantitative analysis, threshold voltage, integrated circuit noise
41S. Alireza Zabihian, Reza Lotfi Ultra-Low-Voltage, Low-Power, High-Speed Operational Amplifiers Using Body-Driven Gain-Boosting Technique. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Hamid Nejati, Tamer Ragheb, Yehia Massoud On the design of customizable low-voltage common-gate LNA-mixer pair using current and charge reusing techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF common-gate, low-voltage, low noise amplifier, mixer
40Jingmeng Liu, Tianmiao Wang, Dong Xu 0005, Lipeng Sun Research on high-voltage inverter controlling system based on wave algorithm. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Level-shifter free design of low power dual supply voltage CMOS circuits using dual threshold voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Huawei Li, Yu Fan, Tao Wu Impact of Load Characteristics and Low-Voltage Load Shedding Schedule on Dynamic Voltage Stability. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Peter Hazucha, Fabrice Paillet, Sung Tae Moon, David J. Rennie, Gerhard Schrom, Donald S. Gardner, Kenneth Ikeda, Gell Gellman, Tanay Karnik Low Voltage Buffered Bandgap Reference. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Yunfeng Peng, Derui Kong, Feng Zhou A Low-Voltage Sampling Switch with Improved Linearity. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
38Zhiyuan Li, Mingyan Yu, Jianguo Ma A Novel Input Stage Based on DTMOS for Low-Voltage Low-Noise Operational Amplifier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Vojkan Vidojkovic, Johan van der Tang, Eric Hanssen, Arjan J. Leeuwenburgh, Arthur H. M. van Roermund Low voltage, low power folded-switching mixer with current-reuse in 0.18µm CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Techniques for the Design of Low Voltage Power Efficient Analog and Mixed Signal Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Chih-Jen Cheng, Shuenn-Yuh Lee A low-voltage adaptive switched-current SDM for bio-acquisition microsystems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Paul Ampadu Ultra-low voltage VLSI: are we there yet? Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Kaushik Roy 0001 Ultra low voltage CMOS. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive supply scaling, nano-scale cmos system, ultra low voltage design, ultra-dynamic voltage scaling
37Carl James Debono, Franco Maloberti, Joseph Micallef A low-voltage CMOS multiplier for RF applications (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS, low-voltage, RF, analog multiplier
37A. B. Bhattacharyya, Ram Singh Rana, S. K. Guha, Rajendar Bahl, R. Anand, M. J. Zarabi, P. A. Govindacharyulu, U. Gupta, V. Mohan, Jatin Roy, Amul Atri A micropower analog hearing aid on low voltage CMOS digital process. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF differential amplifiers, automatic gain control, micropower analog hearing aid, low voltage CMOS digital process, adaptive biasing, MOS translinear loop circuit, degenerating linearising resistor, input differential stage, AGC block, conversion efficiency, 3 micron, 1.0 V, power consumption, CMOS analogue integrated circuits, hearing aids
37Seiede Fateme Ashrafi, Seyed Mojtaba Atarodi, Mohammad Chahardori New low voltage, high PSRR, CMOS bandgap voltage reference. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Yngvar Berg, Omid Mirmotahari Ultra low-voltage switched current mirror. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Wei-Hua Chen, Quanyuan Jiang, Yijia Cao Low Voltage Risk Assessment in Power System Using Neural Network Ensemble. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Chi-Hung Lin, Mohammed Ismail 0001 Design and analysis of an ultra low-voltage CMOS class-AB V-I converter for dynamic range enhancement. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Bram Kruseman, Stefan van den Oetelaar, Josep Rius 0001 Comparison of IDDQ Testing and Very-Low Voltage Testing. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm Variations-aware low-power design with voltage scaling. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variations, parallel systems, low-voltage
36Yukiya Miura, Hiroshi Yamazaki A Low-Loss Built-In Current Sensor. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low-voltage LSIs, multiple power supplies, IDDQ testing, Built-in current sensor
36Yuyun Liao, D. M. H. Walker Optimal voltage testing for physically-based faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF optimal voltage testing, physically-based faults, resistive bridges, gate outputs, pattern sensitive functional faults, transmission gates, fault diagnosis, logic testing, delays, integrated circuit testing, automatic testing, fault coverage, CMOS logic circuits, delay faults, Iddq tests, CMOS circuits, logic gates, test vector, noise margin, selection strategy, low-voltage testing, integrated circuit noise
36Zushu Yan, Liangguo Shen, Yuanfii Zhao, Suge Yue A low-voltage CMOS low-dropout regulator with novel capacitor-multiplier frequency compensation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Kyeong-Sik Min, Young-Hee Kim, Jin-Hong Ahn, Jin-Yong Chung, Takayasu Sakurai CMOS charge pumps using cross-coupled charge transfer switches with improved voltage pumping gain and low gate-oxide stress for low-voltage memory circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Gianluca Giustolisi, Gaetano Palumbo, Ester Spitale Low-voltage LDO Compensation Strategy based on Current Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Kiyoo Itoh 0001, Masashi Horiguchi, Takayuki Kawahara Ultra-low voltage nano-scale embedded RAMs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne Low voltage swing logic circuits for a Pentium 4 processor integer core. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder
34Saowanee Saewong, Ragunathan Rajkumar Practical Voltage-Scaling for Fixed-Priority RT-Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Christian Falconi, Arnaldo D'Amico, Giuseppe Scotti, Alessandro Trifiletti Low Voltage CMOS Current and Voltage References without Resistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Rajarshi Paul, Amit Patra, Shailendra Baranwal, Kaushik Dash Design of Second-Order Sub-Bandgap Mixed-Mode Voltage Reference Circuit for Low Voltage Applications. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Walter Aloisi, Stello Matteo Billé, Gaetano Palumbo Low-voltage linear voltage regulator suitable for memories. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Ming-Dou Ker, Wei-Jen Chang, Wen-Yu Lo Low-Voltage-Triggered PNP Devices for ESD Protection Design in Mixed-Voltage I/O Interface with Over-VDD and Under-VSS Signal Levels. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Gin Kooi Lim, Tee Hui Teo A Low-Power Low-Voltage Amplifier for Heart Rate Sensor. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jiangmin Gu, Chip-Hong Chang Ultra low voltage, low power 4-2 compressor for high speed multiplications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Hassan Aboushady, Marie-Minerve Louërat Low-power design of low-voltage current-mode integrators for continuous-time Sigma-Delta modulators. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34L. Richard Carley, Akshay Aggarwal, Ram K. Krishnamurthy Decreasing low-voltage manufacturing-induced delay variations with adaptive mixed-voltage-swing circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CMOS logic, low voltage logic circuits, manufacturing variations, mixed-swing CMOS logic
33Sanjay Kumar Wadhwa A low voltage CMOS bandgap reference circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Kornika Moolpho, Jitkasame Ngarmnil Low Voltage High-Performance Class-AB FGMOS Buffer. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Jesper Steensgaard Bootstrapped low-voltage analog switches. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Omid Mirmotahari, Yngvar Berg Ultra Low Voltage High Speed Differential CMOS Inverter. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Floating-Gate (FG), High-Speed, Ultra Low Voltage (ULV)
33Vivienne Sze, Anantha P. Chandrakasan A 0.4-V UWB baseband processor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF baseband processor, parallelism, ultra-wideband, ultra-low voltage
33Meng Tong Tan, Joseph Sylvester Chang, Yit Chow Tong A novel low-voltage low-power wave digital filter bank for an intelligent noise reduction digital hearing instrument. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Eric Y. Chou, A. J. Budrys, Kit M. Cham Low power salient integration mode image sensor with a low voltage mixed-signal readout architecture. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Antonio J. López-Martín, Jaime Ramírez-Angulo, Ramón González Carvajal Low-voltage low-power wideband CMOS current conveyors based on the flipped voltage follower. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Kiyoo Itoh 0001, Kenichi Osada, Takayuki Kawahara Low-Voltage Embedded RAMs - Current Status and Future Trends. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Tolga Kaya, Hür Köser, Eugenio Culurciello A Silicon-on-Sapphire Low-Voltage Temperature Sensor for Energy Scavengers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Techniques for very low-voltage operation of continuous-time analog CMOS circuits. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Wanli Jiang, Eric Peterson Performance Comparison of VLV, ULV, and ECR Tests. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Biranchinath Sahu, Gabriel A. Rincón-Mora A High-Efficiency, Dual-Mode, Dynamic, Buck-Boost Power Supply IC for Portable Applications. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Buck-boost converter IC, integrated power management, dual-mode converter
32Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Level-Shifter Free Design of Low Power Dual Supply Voltage CMOS Circuits Using Dual Threshold Voltages. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Jaime Ramírez-Angulo, Shanta Thoutam, Antonio J. López-Martín, Ramón González Carvajal Low-voltage CMOS analog four quadrant multiplier based on flipped voltage followers. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto 0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain control, low power, cache memory, microarchitecture, variation, low voltage
31Chun-Yueh Yang, Chung-Chih Hung A low-voltage low-distortion MOS sampling switch. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Liqiong Wei, Zhanping Chen, Kaushik Roy 0001, Mark C. Johnson, Yibin Ye, Vivek De Design and optimization of dual-threshold circuits for low-voltage low-power applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
31Dusan Suvakovic, C. André T. Salama Guidelines for Use of Registers and Multiplexers in Low Power Low Voltage DSP Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, DSP, energy consumption, switching, multiplexer, low voltage, register, datapath
31Shantanu A. Bhalerao, Abhishek V. Chaudhary, Rajendra M. Patrikar A CMOS Low Voltage Charge Pump. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan Low-voltage CMOS analog bootstrapped switch for sample-and-hold circuit: design and chip characterization. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Seoung-Jae Yoo, Arun Ravindran, Mohammed Ismail 0001 A low voltage CMOS transresistance-based variable gain amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Dinesh Somasekhar, Kaushik Roy 0001 LVDCSL: a high fan-in, high-performance, low-voltage differential current switch logic family. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Dongmin Park, SeongHwan Cho A power-optimized CMOS LC VCO with wide tuning range in 0.5-V supply. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Noohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi, Peter Harrod Dynamic Voltage Scaling Aware Delay Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, Akira Tada, Takashi Ipposhi Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins A novel very low-voltage SC-CMFB technique for fully-differential reset-opamp circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Andre Vilas Boas, Alfredo Olmos A temperature compensated digitally trimmable on-chip IC oscillator with low voltage inhibit capability. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Sher Singh Rajput, Sudhanshu Shekhar Jamuar Ultra low voltage current mirror op amp and its applications. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Zeshan Chishti, Alaa R. Alameldeen, Chris Wilkerson, Wei Wu 0024, Shih-Lien Lu Improving cache lifetime reliability at ultra-low voltages. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3403 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license