The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manufacturability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1992 (17) 1993-1996 (17) 1997-1999 (19) 2000-2001 (27) 2002-2003 (32) 2004 (32) 2005 (37) 2006 (31) 2007 (29) 2008 (34) 2009-2010 (20) 2011-2015 (19) 2016-2017 (17) 2018-2020 (16) 2021-2024 (15)
Publication types (Num. hits)
article(91) book(2) incollection(1) inproceedings(267) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 341 occurrences of 179 keywords

Results
Found 362 publication records. Showing 362 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
87Luigi Capodieci, Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 Toward a methodology for manufacturability-driven design rule exploration. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI manufacturability, process variation, yield, OPC, lithography, RET
81Fan QingMing, Liu Geng, Liu HongJun Research on Evaluation of Parts Manufacturability Based on Feature. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
81Alessandra Nardi, Alberto L. Sangiovanni-Vincentelli Synthesis for Manufacturability: A Sanity Check. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
75Shiyan Hu, Jiang Hu Pattern sensitive placement for manufacturability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, physical design, manufacturability
69Joe Y. Shiau, Svetan M. Ratchev, George Valtchanov Distributed Collaborative Design and Manufacturability Assessment for Extended Enterprise in XML-Based Agent Systems. Search on Bibsonomy WETICE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
63Saghir A. Shaikh, Jitendra Khare, Hans T. Heineken Manufacturability and Testability Oriented Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesis Optimization, CAD, System on Chip, Design for Manufacturability, High Level Test Synthesis
63Hans T. Heineken, Wojciech Maly Performance - Manufacturability Tradeoffs in IC Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF wafer productivity, design rule shrink, performance, manufacturability, yield, critical area, clock frequency
63Wojciech Maly, Hans T. Heineken, Jitendra Khare, Pranab K. Nag Design for manufacturability in submicron domain. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF IC technologies, die size minimization, integrated circuit technology, submicron domain, yield, cost model, design for manufacturability, trade-offs, design rules
57Yervant Zorian, Juan Antonio Carballo T1: Design for Manufacturability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Pedram Khademsameni, Marek Syrzycki Manufacturability Analysis of Analog CMOS ICs through Examination of Multiple Layout Solutions. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
57Rajit Gadh, Donna Herbert, Alexander Kott, Charles P. Kollar Feature-Based Design for Manufacturability Critique in Concurrent Engineering. Search on Bibsonomy MIT-JSME Workshop The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
51V. Kheterpal, Vyacheslav Rovner, T. G. Hersan, D. Motiani, Y. Takegawa, Andrzej J. Strojwas, Lawrence T. Pileggi Design methodology for IC manufacturability based on regular logic-bricks. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF regularity, manufacturability, integrated circuits, RET
51Hans T. Heineken, Wojciech Maly Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Standard Cell Designs, Synthesis, Interconnects, Manufacturability, Yield
49Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, Saghir A. Shaikh, Manuel d'Abreu Maximizing Wafer Productivity Through Layout Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wafer productivity, die cost, interconnect cost, manufacturability, yield, design for manufacturability
48Yongsik Kim, Taesoo Lim, Dongsoo Kim, Cheol Jung, Honggee Jin Process-Oriented DFM System for Ubiquitous Devices. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Nicola Dragone, Michele Quarantelli, Massimo Bertoletti, Carlo Guardiani High Yield Standard Cell Libraries: Optimization and Modeling. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Chung-Wei Lin, Ming-Chao Tsai, Kuang-Yao Lee, Tai-Chen Chen, Ting-Chi Wang, Yao-Wen Chang Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Raul Camposano Adding Manufacturability to the Quality of Results. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Jie Yang 0010, Ethan Cohen, Cyrus Tabery, Norma Rodriguez, Mark Craig An up-stream design auto-fix flow for manufacturability enhancement. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout, DFM, OPC, design flow
45Chung-Kuan Cheng, Steve Lin, Andrew B. Kahng, Keh-Jeng Chang, Vijay Pitchumani, Toshiyuki Shibuya, Roberto Suaya, Zhiping Yu, Fook-Luen Heng, Don MacMillen Panel I: who is responsible for the design for manufacturability issues in the era of nano-technologies? Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45F. Duan, R. Castagnetti, R. Venkatraman, O. Kobozeva, S. Ramesh 0004 Design and Use of Memory-Specific Test Structures to Ensure SRAM Yield and Manufacturability. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Juan Antonio Carballo, Yervant Zorian, Raul Camposano, Andrzej J. Strojwas, John Kibarian, Dennis Wassung, Alex Alexanian, Steve Wigley, Neil Kelly Guest Editors' Introduction: DFM Drives Changes in Design Flow. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Design for manufacturability, DFM
39Yaoguang Wei, Sachin S. Sapatnekar Dummy fill optimization for enhanced manufacturability. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, design for manufacturability, chemical-mechanical polishing, dummy fill
39Robert Madge New test paradigms for yield and manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer-era semiconductor, test paradigm, yield and manufacturability
39Balakrishnan Iyer, Ramesh Karri, Israel Koren Phantom redundancy: a high-level synthesis approach for manufacturability. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fabrication-time reconfigurability, functional unit failure, microarchitecture synthesis, phantom redundancy, genetic algorithm, high level synthesis, high-level synthesis, redundancy, logic design, reconfigurable architectures, manufacturability, microarchitecture, circuit CAD
36Andreas J. Strojwas, Michael Campbell, Vassilios Gerousis, Jim Hogan, John Kibarian, Marc Levitt, Walter Ng, Dipu Pramanik, Mark Templeton When IC yield missed the target, who is at fault? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Goutam Debnath, Paul J. Thadikaran Design for Manufacturability and Reliability in Nano Era. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Heikki Kariniemi, Jari Nurmi On-Line Reconfigurable XGFT Network-on-Chip Designed for Improving the Fault-Tolerance and Manufacturability of the MPSoC Chips. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Rajesh K. Gupta 0001 The other face of design for manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design-manufacturing interface, DMI
33Vijay Pitchumani Embedded tutorial I: design for manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Lionel Riviere-Cazaux, Kevin Lucas, Jon Fitch Integration Of Design For Manufacturability (DFM) Practices In Design Flows. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Alessandra Nardi, Alberto L. Sangiovanni-Vincentelli Logic Synthesis for Manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Louis Scheffer Physical CAD changes to incorporate design for lithography and manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Ricardo Reis 0001, Fernanda Lima Kastensmidt, José Luís Almada Güntzel Physical design methodologies for performance predictability and manufacturability. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design methodologies, regularity, layout, physical design, DFM
33Robert Madge New Test Paradigms for Yield and Manufacturability. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Keh-Jeng Chang Accurate On-Chip Variation Modeling to Achieve Design for Manufacturability. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Stephen D. Kleban, William A. Stubblefield, K. W. Mitchiner, John L. Mitchiner, M. Arms Collaborative Evaluation of Early Design Decisions and Product Manufacturability. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF java, design, collaboration, distributed computing, manufacturing, conceptual design
30Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
30Sachin S. Sapatnekar Building your yield of dreams. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoscale, modeling variations, CMOS, yield, design for manufacturability, DFM
30Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
30Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai DFM/DFY practices during physical designs for timing, signal integrity, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield
30Jay Jahangiri, David Abercrombie Value-Added Defect Testing Techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF advanced design-for-manufacturability, DFM test methods, defect testing techniques
30Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen Multilevel routing with antenna avoidance. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF nanometer, process antenna effect, routing, physical design, design for manufacturability (DFM), multilevel optimization
30Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Selective gate-length biasing for cost-effective runtime leakage control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, layout, manufacturability, leakage, OPC, lithography
30Hardy Kwok-Shing Leung Advanced routing in changing technology landscape. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, physical design, manufacturability, design rules
30Yu Chen 0005, Puneet Gupta 0001, Andrew B. Kahng Performance-impact limited area fill synthesis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, coupling capacitance extraction, dummy fill problem, signal delay, linear programming, greedy method
30Neil Harrison Orphan Metal Removal as an Element of DFM. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Layout modification, Yield, Design for manufacturability
30Jeffrey Z. Su, Wayne Wei-Ming Dai Post-route optimization for improved yield using a rubber-band wiring model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Rubber-Band, Topological Wiring Even Wire Distribution, Yield, Design for Manufacturability, Spacing, Bridge Fault, Routability, Critical Area, Layout Optimization, Routing Congestion
30Jan H. Vandenbrande, Aristides A. G. Requicha Spatial Reasoning for the Automatic Recognition of Machinable Features in Solid Models. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF automatic feature recognition, machinable features, volumetric features, generate-and-test strategy, OPS-5 production rules, pattern recognition, computational geometry, computational geometry, planning, planning (artificial intelligence), solid models, solid modelling, manufacturability, spatial reasoning, spatial reasoning, CAD/CAM, blackboard, machining
24Katherine Shu-Min Li, Yao-Wen Chang, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Multilevel Full-Chip Routing With Testability and Yield Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Angelo P. E. Rosiello, Fabrizio Ferrandi, Davide Pandini, Donatella Sciuto A Hash-based Approach for Functional Regularity Extraction During Logic Synthesis. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Katherine Shu-Min Li, Chung-Len Lee 0001, Yao-Wen Chang, Chauchin Su, Jwu E. Chen Multilevel full-chip routing with testability and yield enhancement. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multilevel routing, yield, testability
24V. Kheterpal, Andrzej J. Strojwas, Lawrence T. Pileggi Routing architecture exploration for regular fabrics. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BEOL, regularity
24Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Pradiptya Ghosh, Chung-shin Kang, Michael Sanie, David Pinto 0003 New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Hans T. Heineken, Jitendra Khare, Wojciech Maly, Pranab K. Nag, Charles H. Ouyang, Witold A. Pleskacz CAD at the Design-Manufacturing Interface. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Scott Davidson 0001 High level design automation tools (session overview). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
21Ken Miyajima, Takayuki Yamada Optimal design of unimorph-type cantilevered piezoelectric energy harvesters using level set-based topology optimization by considering manufacturability. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Ren Tsunata, Masatsugu Takemoto, Jun Imai, Tatsuya Saito, Tomoyuki Ueno A Proposal of an Axial-Flux Permanent-Magnet Machine Employing SMC Core With Tooth-Tips Constructed by One-Pressing Process: Improving Torque and Manufacturability. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Kaishu Xia, Thorsten Wuest, Ramy F. Harik Automated manufacturability analysis in smart manufacturing systems: a signature mapping method for product-centered digital twins. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Jun Yang, Jiangbei Hu, Shengfa Wang, Na Lei, Zhongxuan Luo Differentiable Channel Design for Enhancing Manufacturability of Enclosed Cavities. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Anna Maria Koniari, Charalampos P. Andriotis, Faidra Oikonomopoulou Minimum Mass Cast Glass Structures Under Performance and Manufacturability Constraints. Search on Bibsonomy CAAD Futures The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Guoyan Li, Xiaoning Jin, Yujia Wang, Swastik Kar Optimal Parameters Design for Manufacturability under Unknown Feasibility Constraints. Search on Bibsonomy CASE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Ying Zhang, Yaoyao Fiona Zhao A Web-based automated manufacturability analyzer and recommender for additive manufacturing (MAR-AM) via a hybrid Machine learning model. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Xuan Liang, Angran Li, Anthony D. Rollett, Yongjie Jessica Zhang An isogeometric analysis-based topology optimization framework for 2D cross-flow heat exchangers with manufacturability constraints. Search on Bibsonomy Eng. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Lei Gong, Xianmou Xue, Tongbao Wang, Teng Wu, Hui Zhang, Zhiqin Meng Machining Hole Feature Recognition Method and Application for Manufacturability Check. Search on Bibsonomy ICVARS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Irlán Grangel-González, Felix Lösch, Anees ul Mehdi Knowledge Graph-based Support for Automated Manufacturability Analysis. Search on Bibsonomy ETFA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Yanfang Zhao, Van-Nam Hoang, Gang-Won Jang, Wenjie Zuo Hollow structural topology optimization to improve manufacturability using three-dimensional moving morphable bars. Search on Bibsonomy Adv. Eng. Softw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Changmo Yeo, Sang-Uk Cheon, Duhwan Mun Manufacturability evaluation of parts using descriptor-based machining feature recognition. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Yilin Guo, Wen Feng Lu, Jerry Ying Hsi Fuh Semi-supervised deep learning based framework for assessing manufacturability of cellular structures in direct metal laser sintering process. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Yun-Jhe Jiang, Kuo-Hao Wu, Shao-Yun Fang Manufacturability Enhancement With Dummy via Insertion for DSA-MP Lithography Using Multiple BCP Materials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Zhongxia Gong, Bailin Wang, Wenxin Zhang, Tieke Li, Yang Wang Heuristic algorithm of steel coil cutting with manufacturability. Search on Bibsonomy ISCID The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Giampaolo Campana, Mattia Mele An application to Stereolithography of a feature recognition algorithm for manufacturability evaluation. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Li Chen 0010, Tak Yu Lau, Kai Tang 0001 Manufacturability analysis and process planning for additive and subtractive hybrid manufacturing of Quasi-rotational parts with columnar features. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Jie Gao 0007, Huirong Fan, Bin Cao, Nengmin Wang Quality incentive contracts considering asymmetric product manufacturability information: Piece rate vs. Tournament. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Sheng-Jung Yu, Chen-Chien Kao, Chia-Han Huang, Iris Hui-Ru Jiang Equivalent Capacitance Guided Dummy Fill Insertion for Timing and Manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Arzu Kurgan, Paolo Maggiore, Alessandro Golkar Integrated Design Methodology for Improved System Manufacturability. Search on Bibsonomy ISSE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Samyeon Kim, David W. Rosen, Paul Witherell, Hyunwoong Ko A Design for Additive Manufacturing Ontology to Support Manufacturability Analysis. Search on Bibsonomy J. Comput. Inf. Sci. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Yu-shan Wang Analog Statistical Design for Manufacturability Using Linear and Nonlinear Response. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Mohamed Firas Borchani, Moncef Hammadi, Noureddine Ben Yahia, Jean-Yves Choley Integrating model-based system engineering with set-based concurrent engineering principles for reliability and manufacturability analysis of mechatronic products. Search on Bibsonomy Concurr. Eng. Res. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Naixing Wang, Irith Pomeranz, Sudhakar M. Reddy, Arani Sinha, Srikanth Venkataraman Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Erva Ulu, Nurcan Gecer Ulu, Walter Hsiao, Saigopal Nelaturi Manufacturability Oriented Model Correction and Build Direction Optimization for Additive Manufacturing. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
21Naixing Wang, Irith Pomeranz, Sudhakar M. Reddy, Arani Sinha, Srikanth Venkataraman Resynthesis for Avoiding Undetectable Faults Based on Design-for-Manufacturability Guidelines. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Manuel Mayerhofer, Munir Merdan, Martin Schwentenwein, Wilfried Lepuschitz Manufacturability Analysis for Additive Manufacturing. Search on Bibsonomy ETFA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Irina Makarova, Ksenia Shubenkova, Timur A. Nikolaev, Krzysztof Zabinski Improvement of Vehicles Production by Means of Creating Intelligent Information System for the Verification of Manufacturability of Design Documentation. Search on Bibsonomy ICICT (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Sambit Ghadai, Aditya Balu, Soumik Sarkar, Adarsh Krishnamurthy Learning localized features in 3D CAD models for manufacturability analysis of drilled holes. Search on Bibsonomy Comput. Aided Geom. Des. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Yixiao Ding, Chris Chu, Wai-Kei Mak Self-Aligned Double Patterning-Aware Detailed Routing With Double Via Insertion and Via Manufacturability Consideration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Bin Cao, Jie Gao 0007 Quality contracts with the supplier's private product manufacturability information. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Markus P. Nemitz, Mohammed E. Sayed, John Mamish, Gonzalo Ferrer 0001, Lijun Teng, Ross M. McKenzie, Alfred O. Hero III, Edwin Olson, Adam A. Stokes HoverBots: Precise Locomotion Using Robots That Are Designed for Manufacturability. Search on Bibsonomy Frontiers Robotics AI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21 A Machine-Learning Framework for Design for Manufacturability. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
21Sambit Ghadai, Aditya Balu, Adarsh Krishnamurthy, Soumik Sarkar Learning and Visualizing Localized Geometric Features Using 3D-CNN: An Application to Manufacturability Analysis of Drilled Holes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
21Yu Han, Guozhu Jia Optimizing product manufacturability in 3D printing. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Rose Shuman, Jooyoung Kim, Paul Gardner-Stephen Optimising the question box for cost and local-manufacturability. Search on Bibsonomy GHTC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Johanna Sepúlveda T1B: Special session: Data analytics driven design for yield, manufacturability and reliability: Where machine learning meets design automation. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Alex Vidal-Obiols, Jordi Cortadella, Jordi Petit Under-the-Cell Routing to Improve Manufacturability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Ahmed Awad 0002, Atsushi Takahashi 0001, Chikaaki Kodama A Fast Mask Manufacturability and Process Variation Aware OPC Algorithm with Exploiting a Novel Intensity Estimation Model. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Takuya Hirata, Ryuta Nishino, Shigetoshi Nakatake, Masaya Shimoyama, Masashi Miyagawa, Ryoichi Miyauchi, Koichi Tanno, Akihiro Yamada Subblock-Level Matching Layout for Analog Block-Pair and Its Layout-Dependent Manufacturability Evaluation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Bei Yu 0001, Xiaoqing Xu, Subhendu Roy, Yibo Lin, Jiaojiao Ou, David Z. Pan Design for manufacturability and reliability in extreme-scaling VLSI. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Aditya Balu, Kin Gwn Lore, Gavin Young, Adarsh Krishnamurthy, Soumik Sarkar A Deep 3D Convolutional Neural Network Based Design for Manufacturability Framework. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
Displaying result #1 - #100 of 362 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license