The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase multi-processors (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1994 (17) 1995-2000 (16) 2001-2003 (19) 2004-2005 (21) 2006 (17) 2007 (24) 2008 (20) 2009 (21) 2010-2011 (15) 2012-2013 (15) 2014-2016 (16) 2017-2020 (20) 2021-2023 (5)
Publication types (Num. hits)
article(37) inproceedings(187) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 191 occurrences of 150 keywords

Results
Found 226 publication records. Showing 226 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Pierre-François Dutot Hierarchical Scheduling for Moldable Tasks. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Paul Bogdan, Miray Kas, Radu Marculescu, Onur Mutlu QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Self-Similar Stochastic Processes, Multi-fractal Analysis, Networks-on-Chip, Chip Multi-Processors
25Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Static cache partitioning robustness analysis for embedded on-chip multi-processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF robustness, cache partitioning, multi-processors
21Michael Yang, Ahmed N. Tantawy A design methodology for protocol processors. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF protocol processors, FCS, Fibre Channel Standard, homogeneous multi-processors, single VLSI chip, VHDL macro libraries, VLSI protocol processors, CVDS, Communication VLSI Design System, protocols, asynchronous transfer mode, ATM, multiprocessing systems, communication protocols
21Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal Optimizing XML processing for grid applications using an emulation framework. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Rajdeep Bhowmik, Chaitali Gupta, Madhusudhan Govindaraju, Aneesh Aggarwal Efficient XML-Based Grid Middleware Design for Multi-Core Processors. Search on Bibsonomy ICWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Adrián Cristal, Oliverio J. Santana, Mateo Valero Maintaining Thousands of In-flight Instructions. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Bart D. Theelen, A. C. Verschueren Architecture Design of a Scalable Single-Chip Multi-Processor. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Antony L. Hosking Portable, mostly-concurrent, mostly-copying garbage collection for multi-processors. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ambiguous-roots, mostly-concurrent, mostly-copying, concurrent, garbage collection, memory management, portability, incremental, conservative
16Shigeaki Iwasa, Shung Ho Shing, Hisashi Mogi, Hiroshi Nozuwe, Hiroo Hayashi, Osamu Wakamori, Takashi Ohmizo, Kuninori Tanaka, Hiroshi Sakai, Mitsuo Saito SSM-MP: more scalability in shared-memory multi-processor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SSM-MP, shared-memory multi-processor, cache refill latency, bus bottle neck problem, MTag, scalability, shared memory systems, cache coherency, memory architecture, multi-processor system
15Ryo Watanabe, Masaaki Kondo, Hiroshi Nakamura, Takashi Nanya Power reduction of chip multi-processors using shared resource control cooperating with DVFS. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Fengguang Song, Shirley Moore, Jack J. Dongarra L2 Cache Modeling for Scientific Applications on Chip Multi-Processors. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache performance modeling, architecture, chip multi-processor, multi-threaded programming
15Ishwar Parulkar, Thomas A. Ziaja, Rajesh Pendurkar, Anand D'Souza, Amitava Majumdar 0002 A Scalable, Low Cost Design-for-Test Architecture for UltraSPARCTM Chip Multi-Processors. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hongbin Yang, Chen Li, Yue Wu Research in Re-execution of the Thread Granule. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF re-execution optimization, thread granule re-execution, Chip Multi-Processors
14Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio, Pablo Prieto Rotary router: an efficient architecture for CMP interconnection networks. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, router architecture, chip multi-processors
14Chitra Natarajan, Bruce Christenson, Faye A. Briggs A study of performance impact of memory controller features in multi-processor server environment. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory transaction scheduling, server systems, multi-processors, memory controller, memory subsystem, performance impact
14Takenori Koushiro, Toshinori Sato, Itsujiro Arita A trace-level value predictor for Contrail processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors
14Tarek S. Abdelrahman, Thomas N. Wong Compiler Support for Array Distribution on NUMA Shared Memory Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF locality management, NUMA multi-processors, parallelizing compilers, data distribution, cache management
14Ireneusz Karkowski, Henk Corporaal Exploiting Fine- and Coarse-Grain Parallelism in Embedded Programs. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF heterogeneous multi-processors, compilers for parallel systems, high performance embedded system design, application-specific architectures
14Pengyong Ma, Shuming Chen MID: a Novel Coherency Protocol in Chip Multiprocessor. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Murali Annavaram, Ed Grochowski, John Paul Shen Mitigating Amdahl's Law through EPI Throttling. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Stephen D. Craven, Cameron D. Patterson, Peter M. Athanas A Methodology for Generating Application-Specific Heterogeneous Processor Arrays. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Soyeon Park, Yuanyuan Zhou 0001, Weiwei Xiong, Zuoning Yin, Rini Kaushik, Kyu H. Lee, Shan Lu 0001 PRES: probabilistic replay with execution sketching on multiprocessors. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF replay, concurrency bug
13Filip Blagojevic, Matthew Curtis-Maury, Jae-Seung Yeom, Scott Schneider 0001, Dimitrios S. Nikolopoulos Scheduling Asymmetric Parallelism on a PlayStation3 Cluster. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance Modeling, Process Scheduling, Cell BE
13Carlos Pérez-Miguel, José Miguel-Alonso, Alexander Mendiburu Evaluating the cell broadband engine as a platform to run estimation of distribution algorithms. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, estimation of distribution algorithms, cell broadband engine
12Shashank Jaiswal, Jingwei Hu, Julien K. Brillon, Alina A. Alexeenko A Discontinuous Galerkin Fast Spectral Method for Multi-Species Full Boltzmann on Streaming Multi-Processors. Search on Bibsonomy PASC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Wei Ding The makespan problem of scheduling multi groups of jobs on multi processors at different speeds. Search on Bibsonomy Algorithmic Oper. Res. The full citation details ... 2012 DBLP  BibTeX  RDF
12Scott Cotton, Oded Maler, Julien Legriel, Selma Saidi Multi-criteria optimization for mapping programs to multi-processors. Search on Bibsonomy SIES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Wei Ding, Yi Zhao An improved LS algorithm for the problem of scheduling multi groups of jobs on multi processors at the same speed. Search on Bibsonomy Algorithmic Oper. Res. The full citation details ... 2010 DBLP  BibTeX  RDF
12J. Dobbie, D. Zatyko System optimization: A mass memory system designed for the multi-program/multi-processors users. Search on Bibsonomy ACM National Conference The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
11Richard T. Saunders, Clinton L. Jeffery, Derek T. Jones A Portable Framework for High-Speed Parallel Producer/Consumers on Real CMP, SMT and SMP Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas Compatible phase co-scheduling on a CMP of multi-threaded processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Inki Hong, Miodrag Potkonjak, Ramesh Karri A heterogeneous built-in self-repair approach using system-level synthesis flexibility. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Wolfgang Puffitsch Decoupled root scanning in multi-processor systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time, garbage collection, multi-processor
11Luke K. McDowell, Susan J. Eggers, Steven D. Gribble Improving server software support for simultaneous multithreaded processors. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF servers, simultaneous multithreading, runtime support
11Rodrigo P. Mendonça, Mario A. R. Dantas A Study of Adaptive Co-scheduling Approach for an Opportunistic Software Environment to Execute in Multi-core and Multi-Processor Configurations. Search on Bibsonomy CSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core, metacomputing, co-scheduling
10Jianyu Wei, Ting Cao, Shijie Cao, Shiqi Jiang, Shaowei Fu, Mao Yang, Yanyong Zhang, Yunxin Liu NN-Stretch: Automatic Neural Network Branching for Parallel Inference on Heterogeneous Multi-Processors. Search on Bibsonomy MobiSys The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Furat Al-Obaidy, Arghavan Asad, Farah A. Mohammadi A Power-Aware Hybrid Cache for Chip-Multi Processors Based on Neural Network Prediction Technique. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
10Wanjuan Wang Government ecological governance management based on heterogeneous multi-processors and dynamic image sampling. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
10Jie Zhang 0048, Myoungsoo Jung Ohm-GPU: Integrating New Optical Network and Heterogeneous Memory into GPU Multi-Processors. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
10Jie Zhang 0048, Myoungsoo Jung Ohm-GPU: Integrating New Optical Network and Heterogeneous Memory into GPU Multi-Processors. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
10Zhe Lin 0007, Sharad Sinha, Hao Liang 0003, Liang Feng 0001, Wei Zhang 0012 Scalable Light-Weight Integration of FPGA Based Accelerators with Chip Multi-Processors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
10Jie Zhang 0048, Myoungsoo Jung ZnG: Architecting GPU Multi-Processors with New Flash for Scalable Data Analysis. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
10Augusto Vega, Aporva Amarnath, John-David Wellman, Hiwot Kassa, Subhankar Pal, Hubertus Franke, Alper Buyuktosunoglu, Ronald G. Dreslinski, Pradip Bose STOMP: A Tool for Evaluation of Scheduling Policies in Heterogeneous Multi-Processors. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
10Agostino Mascitti, Tommaso Cucinotta, Luca Abeni Heuristic partitioning of real-time tasks on multi-processors. Search on Bibsonomy ISORC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Abhijit Das 0002, Abhishek Kumar, John Jose, Maurizio Palesi Exploiting On-Chip Routers to Store Dirty Cache Blocks in Tiled Chip Multi-processors. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Jie Zhang 0048, Myoungsoo Jung ZnG: Architecting GPU Multi-Processors with New Flash for Scalable Data Analysis. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
10Johanna Sepúlveda, Felix Wilgerodt, Michael Pehl Towards memory integrity and authenticity of multi-processors system-on-chip using physical unclonable functions. Search on Bibsonomy it Inf. Technol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Furat Al-Obaidy, Arghavan Asad, Farah Mohammadi 0001 Reconfigurable Hybrid Cache Hierarchy in 3D Chip-Multi Processors Based on a Convex optimization Method. Search on Bibsonomy CCECE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Furat Al-Obaidy, Arghavan Asad, Farah Mohammadi 0001 Power-Management based on Reconfigurable Last-Cache level on Non-volatile Memories in Chip-Multi processors. Search on Bibsonomy CCECE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
10Zhe Lin 0007, Sharad Sinha, Hao Liang 0003, Liang Feng 0001, Wei Zhang 0012 Scalable Light-Weight Integration of FPGA Based Accelerators with Chip Multi-Processors. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Ashwini A. Kulkarni, Chirag Joshi, Khushboo Rani, Sukarn Agarwal, Shrinivas P. Mahajan, Hemangee K. Kapoor Towards Analysing the Effect of Snoozy Caches on the Temperature of Tiled Chip Multi-Processors. Search on Bibsonomy ISED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Ying Wang 0001, Wen Li, Huawei Li 0001, Xiaowei Li 0001 Leveraging DRAM Refresh to Protect the Memory Timing Channel of Cloud Chip Multi-processors. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Ashwini A. Kulkarni, Shounak Chakraborty 0001, Shrinivas P. Mahajan, Hemangee K. Kapoor Utility Aware Snoozy Caches for Energy Efficient Chip Multi-Processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Johanna Sepúlveda, Felix Wilgerodt, Michael Pehl SEPUFSoC: Using PUFs for Memory Integrity and Authentication in Multi-Processors System-on-Chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Ashwini A. Kulkarni, Khushboo Rani, Sukarn Agarwal, Shrinivas P. Mahajan, Hemangee K. Kapoor Towards Analysing the Effect of Hybrid Caches on the Temperature of Tiled Chip Multi-Processors. Search on Bibsonomy iSES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
10Milad Ghorbani Moghaddam, Cristinel Ababei Dynamic energy management for chip multi-processors under performance constraints. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Yanhua Li, Youhui Zhang, Cihang Jiang, Weiming Zheng Hardware support for message-passing in chip multi-processors. Search on Bibsonomy Int. J. High Perform. Comput. Netw. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Jalal Khamse-Ashari, George Kesidis, Ioannis Lambadaris, Bhuvan Urgaonkar, Yiqiang Q. Zhao Efficient and fair scheduling of placement constrained threads on heterogeneous multi-processors. Search on Bibsonomy INFOCOM Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Bing Li 0005 W3B: Special session: Secure multi-processors systems-on-chip for critical applications. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
10Naga Durga Prasad Avirneni, Prem Kumar Ramesh, Arun K. Somani Utilization Aware Power Management in Reliable and Aggressive Chip Multi Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Hrishikesh Salunkhe, Alok Lele, Orlando Moreira, Kees van Berkel 0001 Buffer allocation for real-time streaming applications running on heterogeneous multi-processors without back-pressure. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 Frequency scheduling for resilient chip multi-processors operating at Near Threshold Voltage. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
10Anastasios Psarras, Junghee Lee, Pavlos M. Mattheakis, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos A Low-Power Network-on-Chip Architecture for Tile-based Chip Multi-Processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Ying Wang 0001, Yinhe Han 0001, Jun Zhou 0022, Huawei Li 0001, Xiaowei Li 0001 DISCO: a low overhead in-network data compressor for energy-efficient chip multi-processors. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Minhui Lv, Wei Xiong Cache-Aware Spatial Indices on Chip Multi-Processors: Limitations and Opportunities. Search on Bibsonomy CyberC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
10Tripti S. Warrier, Kanakagiri Raghavendra, Madhu Mutyam SkipCache: application aware cache management for chip multi-processors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Vijayalakshmi Saravanan, Alagan Anpalagan, Isaac Woungang An energy-delay product study on chip multi-processors for variable stage pipelining. Search on Bibsonomy Hum. centric Comput. Inf. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Milan Patnaik, Chidhambaranathan Rajamanikkam, Chirag Garg, Arnab Roy 0003, V. R. Devanathan, Shankar Balachandran, V. Kamakoti 0001 ProWATCh: A Proactive Cross-Layer Workload-Aware Temperature Management Framework for Low-Power Chip Multi-Processors. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Arghavan Asad, Ozcan Ozturk 0001, Mahmood Fathy, Mohammad Reza Jahed-Motlagh Exploiting Heterogeneity in Cache Hierarchy in Dark-Silicon 3D Chip Multi-processors. Search on Bibsonomy DSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Ashkan Sadeghi, Kaamran Raahemifar, Mahmood Fathy, Arghavan Asad Lighting the Dark-Silicon 3D Chip Multi-processors by Exploiting Heterogeneity in Cache Hierarchy. Search on Bibsonomy MCSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael Toward efficient check-pointing and rollback under on-demand SBST in chip multi-processors. Search on Bibsonomy IOLTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
10Yuang Zhang, Li Li 0003, Zhonghai Lu, Axel Jantsch, Minglun Gao, Hongbing Pan, Feng Han 0008 A survey of memory architecture for 3D chip multi-processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
10Mohamed Issa, Ahmed Mansour Alzohairy Tracing Origins Of Unknown DNA/Protein Offspring Sequences On Multi-Processors. Search on Bibsonomy ICCTA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
10Gang Wang, Xu Wang, Xinke Chen, Shuangbai Xue Test and Repair Flow for Shared BISR in Asynchronous Multi-processors. Search on Bibsonomy ASYNC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
10Malik Al-Manasia, Zenon Chaczko An Overview of Chip Multi-Processors Simulators Technology. Search on Bibsonomy ICSEng The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
10Lech Józwiak, Yahya Jan Design of massively parallel hardware multi-processors for highly-demanding embedded applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
10Filippo Sironi, Martina Maggio, Riccardo Cattaneo, Giovanni F. Del Nero, Donatella Sciuto, Marco D. Santambrogio ThermOS: System support for dynamic thermal management of chip multi-processors. Search on Bibsonomy PACT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
10Bharathwaj Raghunathan, Yatish Turakhia, Siddharth Garg, Diana Marculescu Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
10Yatish Turakhia, Bharathwaj Raghunathan, Siddharth Garg, Diana Marculescu HaDeS: architectural synthesis for heterogeneous dark silicon chip multi-processors. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
10Chiahsun Ho Reducing scheduling overheads in multi-processors real-time systems. Search on Bibsonomy 2013   RDF
10Hong-Yun Kim, Young-Jun Kim 0001, Lee-Sup Kim MRTP: Mobile Ray Tracing Processor With Reconfigurable Stream Multi-Processors for High Datapath Utilization. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Wael Kdouh, Hesham El-Rewini Reliability-aware platform optimization for 3D chip multi-processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Yahya Jan, Lech Józwiak Scalable communication architectures for massively parallel hardware multi-processors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Bruno Girodias, Luiza Gheorghe Iugan, Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Michel Langevin, Pierre G. Paulin Integrating Memory Optimization with Mapping Algorithms for Multi-Processors System-on-Chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Moo-Ryong Ra, Bodhi Priyantha, Aman Kansal, Jie Liu 0001 Improving energy efficiency of personal sensing applications with heterogeneous multi-processors. Search on Bibsonomy UbiComp The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Mengjie Mao, Hong An, Tao Sun, Qi Li 0034, Bobin Deng, Xuechao Wei, Junrui Zhou Distributed Control Independence for Composable Multi-processors. Search on Bibsonomy ACIS-ICIS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Hossein Khezripour, Saadat Pourmozaffari Fault Tolerance and Power Consumption Analysis on Chip-Multi Processors Architectures. Search on Bibsonomy ARES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Weixing Ji, Yizhuo Wang, Zhi Huang, Junqing Zhao, Xi Li Exploring Object-Level Parallelism on Chip Multi-processors. Search on Bibsonomy ICA3PP (2) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Rahim Soleymanpour, Siamak Mohammadi, Hamed Rajabi A synthesis algorithm for customized heterogeneous multi-processors. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
10Moslem Didehban, Ario Sadafi, Sajjad Salehi, Mohammad Bagher Chami A Gate Level Analysis of Transient Faults Effects on Dual-Core Chip-Multi Processors. Search on Bibsonomy ARES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
10Marek Tudruj, Lukasz Masko Communication on the Fly for Hierarchical Systems of Chip Multi-processors. Search on Bibsonomy PARELEC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
10Arvind Kandhalu, Junsung Kim, Karthik Lakshmanan, Ragunathan Rajkumar Energy-Aware Partitioned Fixed-Priority Scheduling for Chip Multi-processors. Search on Bibsonomy RTCSA (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
10Marek Tudruj, Lukasz Masko Data Transfers on the Fly for Hierarchical Systems of Chip Multi-Processors. Search on Bibsonomy PPAM (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
10Lothar Thiele, Lars Schor, Hoeseok Yang, Iuliana Bacivarov Thermal-aware system analysis and software synthesis for embedded multi-processors. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
10Chao Wang 0003, Junneng Zhang, Xuehai Zhou, Xiaojing Feng, Xiaoning Nie SOMP: Service-Oriented Multi Processors. Search on Bibsonomy IEEE SCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Service-oriented, Run-time reconfiguration, Run-time scheduling, Multi processor system on chip
10Junli Gu, Rakesh Kumar 0002, Steven S. Lumetta, Yihe Sun Accelerating data movement on future chip multi-processors. Search on Bibsonomy IFMT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
10Vittorio Zaccaria, Gianluca Palermo, Fabrizio Castro, Cristina Silvano, Giovanni Mariani Multicube Explorer: An Open Source Framework for Design Space Exploration of Chip Multi-Processors. Search on Bibsonomy ARCS Workshops The full citation details ... 2010 DBLP  BibTeX  RDF
10Bruno Girodias, Luiza Gheorghe, Youcef Bouchebaba, Gabriela Nicolescu, El Mostapha Aboulhamid, Michel Langevin, Pierre G. Paulin Combining memory optimization with mapping of multimedia applications for multi-processors system-on-chip. Search on Bibsonomy International Symposium on Rapid System Prototyping The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
10Claudio Favi, René Beuchat, Xavier Jimenez, Paolo Ienne From gates to multi-processors learning systems hands-on with FPGA4U in a computer science programme. Search on Bibsonomy WESE@ESWEEK The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 226 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license