The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase n-flop (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1975 (16) 1976-1985 (15) 1986-1991 (18) 1993-1995 (22) 1996-1997 (17) 1998-1999 (28) 2000 (18) 2001 (18) 2002 (25) 2003 (30) 2004 (29) 2005 (52) 2006 (45) 2007 (57) 2008 (43) 2009 (39) 2010 (26) 2011 (27) 2012 (39) 2013 (34) 2014 (45) 2015 (38) 2016 (37) 2017 (31) 2018 (47) 2019 (37) 2020 (36) 2021 (37) 2022 (22) 2023 (38) 2024 (5)
Publication types (Num. hits)
article(360) data(1) incollection(2) inproceedings(607) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 417 occurrences of 259 keywords

Results
Found 971 publication records. Showing 971 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
102Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
91Saihua Lin, Huazhong Yang, Rong Luo High Speed Soft-Error-Tolerant Latch and Flip-Flop Design for Multiple VDD Circuit. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
86Pramod Kumar Meher Extended Sequential Logic for Synchronous Circuit Optimization and Its Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
82Liam P. Maguire, T. Martin McGinnity, L. J. McDaid From a Fuzzy Flip-Flop to a MVL Flip-Flop. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MVL flip-flop, MOS implementation, fuzzy reasoning
80Amit Jain, David T. Blaauw Slack borrowing in flip-flop based sequential circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF timing analysis
77Arunprasad Venkatraman, Rajesh Garg, Sunil P. Khatri A robust, fast pulsed flip-flop design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flip-flop, latch
69Fatemeh Aezinia, Ali Afzali-Kusha, Caro Lucas Optimizing High Speed Flip-Flop Using Genetic Algorithm. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
69Tolga Yalçin, Neslin Ismailoglu Design of a fully-static differential low-power CMOS flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
69Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
67Motoi Inaba, Koichi Tanno, Okihiko Ishizuka Multi-Valued Flip-Flop with Neuron-CMOS NMIN Circuits. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-valued flip-flop, Down literal circuit, Analog inverter, Voltage comparator, NMIN circuit
64Saihua Lin, Huazhong Yang, Rong Luo A New Family of Sequential Elements With Built-in Soft Error Tolerance for Dual-VDD Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
59A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Marius Padure, Sorin Cotofana, Stamatis Vassiliadis Design and experimental results of a CMOS flip-flop featuring embedded threshold logic. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
59Bill Pontikakis, Mohamed Nekili A novel double edge-triggered pulse-clocked TSPC D flip-flop for high-performance and low-power VLSI design applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
54Bo Fu, Paul Ampadu Comparative Analysis of Ultra-Low Voltage Flip-Flops for Energy Efficiency. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Mohammad Hosseinabady, Pejman Lotfi-Kamran, Giorgio Di Natale, Stefano Di Carlo, Alfredo Benso, Paolo Prinetto Single-Event Upset Analysis and Protection in High Speed Circuits. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Pre-capturing static pulsed flip-flops. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Jack J. Dongarra High Performance Computing Trends and Self Adapting Numerical Software. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Gerard Elineau, Werner Wiesbeck An New J-K Flip-Flop for Synchronizers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Arbiter circuit, data intersection, tunnel diode flip-flop, flip-flop, synchronizer circuit
53Leo Sintonen A Clocked Multivalued Flip-Flop. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF N-valued logic design, N-valued logic devices, N-state flip-flop, multivalued flip-flop, multivalued sequential circuits
51Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, power, flip-flop, deep submicron
51Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Conditional pre-charge techniques for power-efficient dual-edge clocking. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clocked storage elements, dual edge-triggered flip-flop, power consumption, clocking, clock distribution
48Chul Soo Kim, Joo-Seong Kim, Bai-Sun Kong, Yongsam Moon, Young-Hyun Jun Presetting pulse-based flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
48Yinshui Xia, Lun-Yao Wang, A. E. A. Almaini A Novel Multiple-Valued CMOS Flip-Flop Employing Multiple-Valued Clock. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple-valued clock, CMOS, flip-flops, multiple-valued logic
48Antonio G. M. Strollo, Davide De Caro, Ettore Napoli, Nicola Petra A novel high-speed sense-amplifier-based flip-flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Zhong-Ching Lu, Ting-Chi Wang Concurrent flip-flop and buffer insertion with adaptive blockage avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Ruibing Lu, Guoan Zhong, Cheng-Kok Koh, Kai-Yuan Chao Flip-Flop and Repeater Insertion for Early Interconnect Planning. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
48Mark Vesterbacka A robust differential scan flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Kee Sup Kim, Charles R. Kime Partial scan flip-flop selection by use of empirical testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan flip-flop selection, serial scan, design for testability, testability, partial scan
45Jason P. Hurst, Nick Kanopoulos Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing
43Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Omid Sarbishei, Mohammad Maymandi-Nejad Power-Delay Efficient Overlap-Based Charge-Sharing Free Pseudo-Dynamic D Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Roystein Oliveira, Aditya Jagirdar, Tapan J. Chakraborty A TMR Scheme for SEU Mitigation in Scan Flip-Flops. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Jing Wang 0001, Gianluca Meloni, Gianluca Berrettini, Luca Potì, Antonella Bogoni All-Optical Clocked Flip-Flops Exploiting SOA-Based SR Latches and Logic Gates. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optical flip-flop, optical logic gate, optical signal processing, semiconductor optical amplifier (SOA)
40Gustavo Neuberger, Gilson I. Wirth, Ricardo Reis 0001 Protecting digital circuits against hold time violation due to process variability. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flip-flop characterization, hold time violations, race immunity, clock skew, process variability
40Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF level conversion, flip-flop, dual-supply voltage
37Jun Seomun, Jae-Hyun Kim, Youngsoo Shin Skewed Flip-Flop and Mixed-Vt Gates for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Håvard Pedersen Alstad, Snorre Aunet Three Subthreshold Flip-Flop Cells Characterized in 90 nm and 65 nm CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Dong-Shong Liang, Kwang-Jow Gan New D-Type Flip-Flop Design Using Negative Differential Resistance Circuits. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF negative differential resistance(NDR), monostable-bistable transition logic elements(MOBILE)
37Rubil Ahmadi A Hold Friendly Flip-Flop For Area Recovery. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Jun Seomun, Jaehyun Kim, Youngsoo Shin Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37S. H. Rasouli, Amir Amirabadi, A. Seyedi, Ali Afzali-Kusha Double edge triggered Feedback Flip-Flop in sub 100NM technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Saihua Lin, Rong Luo, Huazhong Yang, Hui Wang 0004 A 0.9V 10GHz 71µW Static D Flip-flop by using FinFET Devices. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Myint Wai Phyu, Wang Ling Goh, Kiat Seng Yeo A low-power static dual edge-triggered flip-flop using an output-controlled discharge configuration. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Hui Zhang, Pinaki Mazumder Design of a new sense amplifier flip-flop with improved power-delay-product. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Stephan Henzler, Georg Georgakos, Jörg Berthold, Doris Schmitt-Landsiedel Single Supply Voltage High-Speed Semi-dynamic Level-Converting Flip-Flop with Low Power and Area Consumption. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Jacek Kluska, Zbigniew Hajduk Digital Implementation of Fuzzy Petri Net Based on Asynchronous Fuzzy RS Flip-Flop. Search on Bibsonomy ICAISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Sang-Dae Shin, Hun Choi, Bai-Sun Kong Variable sampling window flip-flop for low-power application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Satoshi Sakaidani, Naoto Miyamoto, Tadahiro Ohmi Flexible processor based on full-adder/ d-flip-flop merged module. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Chulwoo Kim, Sung-Mo Kang A low-power reduced swing single clock flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Nikola Nedovic, Vojin G. Oklobdzija Dynamic Flip-Flop with Improved Power. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Richard F. Hobson, Allan R. Dyck A Multiple-Input Single-Phase Clock Flip-Flop Family. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Hideaki Matsuzaki, Toshihiro Itoh, Masafumi Yamamoto A Novel High-Speed Flip-Flop Circuit Using RTDs and HEMTs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Massimo Violante Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37G. Lacroix, Philippe Marchegay, G. Piel Comments on "The Anomalous Behavior of Flip-Flops in Synchronizer Circuits". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF uncertainty interval, Anomalous response of flip-flop, flip-flop metastable state, asynchronous interactions, synchronizer failures
37Nikolaos Gaitanis, Constantine Halatsis A New Double-Rank Realization of Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Boolean memory, cyclic Boolean memory, double-rank circuits, excitation function, excitation matrix, flip-flop memory, n-flop, sequential machines, state assignment
34Uthman Alsaiari, Resve A. Saleh Partitioning for Selective Flip-Flop Redundancy in Sequential Circuits. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioning, Redundancy, Yield, Flip-Flop
34Frank Rubin Decrypting a Stream Cipher Based on J-K Flop-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF J?K flip-flop, linear shift register, cryptography, stream cipher, Boolean equations, code breaking
32Pei-Hsin Ho Industrial clock design. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, variability, physical design, clock tree synthesis
32Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Ben Choi 0002, Kunal Tipnis New Components for Building Fuzzy Logic Circuits. Search on Bibsonomy FSKD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Chao Yan 0001, Mark R. Greenstreet Circuit Level Verification of a High-Speed Toggle. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Raúl Jiménez, Pilar Parra Fernández, Javier Castro-Ramirez, Manuel Sanchez-Raya, Antonio J. Acosta 0001 Optimization of Master-Slave Flip-Flops for High-Performance Applications. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Fatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Dual-Edge Triggered Static Pulsed Flip-Flops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Robert J. Drost, Craig Forrest, Bruce Guenin, Ron Ho, Ashok V. Krishnamoorthy, Danny Cohen, John E. Cunningham, Bernard Tourancheau, Arthur Zingher, Alex Chow, Gary Lauterbach, Ivan E. Sutherland Challenges in Building a Flat-Bandwidth Memory Hierarchy for a Large-Scale Computer with Proximity Communication. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Clint Morgan, Darko Stefanovic, Cristopher Moore, Milan N. Stojanovic Building the Components for a Biomolecular Computer. Search on Bibsonomy DNA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ran Ginosar Fourteen Ways to Fool Your Synchronizer. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Dong Xiang, Yi Xu Partial Reset for Synchronous Sequential Circuits Using Almost Independent Reset Signals. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Parag K. Lala, Anup Singh, Alvernon Walker A CMOS-Based Logic Cell for the Implementation of Self-Checking FPGAs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DCVSL, Stuck-ON/OFF, Stuck-at Faults, Self-testing
32Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell On variable clock methods for path delay testing of sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Mitsunori Ebara, Kodai Yamada, Jun Furuta, Kazutoshi Kobayashi Comparison of Radiation Hardness of Stacked Transmission-Gate Flip Flop and Stacked Tristate-Inverter Flip Flop in a 65 nm Thin BOX FDSOI Process. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
31Motoki Tokumasu, Hiroshige Fujii, Masako Ohta, Tsunealu Fuse, Atsushi Kameyama A new reduced clock-swing flip-flop: NAND-type keeper flip-flop (NDKFF). Search on Bibsonomy CICC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Energy recovery clocking scheme and flip-flops for ultra low-energy applications. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF flip-flop, clock, clock tree, energy recovery, adiabatic
29Conrad H. Ziesler, Joohee Kim, Visvesh S. Sathe 0001, Marios C. Papaefthymiou A 225 MHz resonant clocked ASIC chip. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adiabatic logic, resonant LC tank, single phase, VLSI, CMOS, flip-flop, low energy, clock generator
29Jongsun Park 0001, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy 0001 High performance and low power FIR filter design based on sharing multiplication. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FIR filter design, computation sharing, conditional capture flip-flop, high performance and low power carry select adder
29Vladimir Stojanovic, Vojin G. Oklobdzija, Raminder Singh Bajwa A unified approach in the analysis of latches and flip-flops for low-power systems. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF master-slave latch, optimization, timing, flip-flop, power measurement
29Branka Medved Rogina, Bozidar Vojnovic Metastability evaluation method by propagation delay distribution measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF propagation delay distribution measurement, edge-triggered flip-flops, input signals time relationship, output signal timing characteristics, analytical representation, propagation delay density distribution function, fault events, integrated propagation delay density distribution function, flip-flop normal propagation delay, resolution time constant, automatic data acquisition, complex architecture microsystems, MTBF, latch devices, custom CMOS, VLSI, VLSI, fault diagnosis, logic testing, delays, logic design, asynchronous circuits, flip-flops, data acquisition, failure analysis, reliability analysis, graphical representation, metastability, PLD, asynchronous logic, integrated circuit reliability, statistical measurement
26Peiyi Zhao, Jason McNeely, Pradeep Golconda, Magdy A. Bayoumi, Robert A. Barcenas, Weidong Kuang Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Chuan Lin 0002, Hai Zhou 0001 Tradeoff Between Latch and Flop for Min-Period Sequential Circuit Designs With Crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Gilson I. Wirth, Ralf Brederlow, Christian Pacha Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm CMOS technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Hoa Le Minh, Fary Ghassemlooy, Wai Pang Ng An Ultrafast with High Contrast Ratio 12 All-optical Switch based on Tri-arm Mach-Zehnder employing All-optical Flip-flop. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Lih-Yih Chiou, Shien-Chun Luo An Energy-Efficient Dual-Edge Triggered Level-Converting Flip-Flop. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Gefu Xu, Adit D. Singh Delay Test Scan Flip-Flop: DFT for High Coverage Delay Testing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Yen-Ting Liu, Lih-Yih Chiou, Soon-Jyh Chang Energy-efficient adaptive clocking dual edge sense-amplifier flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Praveen Elakkumanan, Kishan Prasad, Ramalingam Sridhar Time Redundancy Based Scan Flip-Flop Reuse To Reduce SER Of Combinational Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Peiyi Zhao, Pradeep Kumar Golconda, C. Archana, Magdy A. Bayoumi A Double-Edge Implicit-Pulsed Level Convert Flip-Flop. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Lucanus J. Simonson, King Ho Tam, Nataraj Akkiraju, Mosur Mohan, Lei He 0001 Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Pradeep Varma, Ashutosh Chakraborty Low-Voltage, Double-Edge-Triggered Flip Flop. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Yiannis Moisiadis, Ilias Bouras, Angela Arapoyanni, Lampros Dermentzoglou A high-performance low-power static differential double edge-triggered flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Tetsuya Uemura, Toshio Baba Demonstration of a Novel Multiple-Valued T-Gate Using Multiple-Junction Surface Tunnel Transistors and Its Application to Three-Valued Data Flip-Flop. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF tunnel transistor, multiple-valued T-gate, D-FF, NDR
26Rafael Vargas-Bernal, Arturo Sarmiento-Reyes A topology-based method for identifying flip-flop graphs in BJT circuits. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Vincent Schmitt Flip-Flop Nets. Search on Bibsonomy STACS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Pooya Jannaty, Florian C. Sabou, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky Numerical queue solution of thermal noise-induced soft errors in subthreshold CMOS devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS logic devices, reliability, Markov process, monte carlo method, poisson distribution, laplace transform
21Douglas S. Greer Images as Symbols: An Associative Neurotransmitter-Field Model of the Brodmann Areas. Search on Bibsonomy Trans. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Natural intelligence, cognitive signal processing, neurotransmitter fields, pattern recognition, memory, computational neuroscience
21Jackey Z. Yan, Natarajan Viswanathan, Chris Chu Handling complexities in modern large-scale mixed-size placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF incremental placement, mixed-size design, floorplanning
Displaying result #1 - #100 of 971 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license