The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlist with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (26) 1991-1993 (19) 1994-1995 (21) 1996-1997 (35) 1998 (15) 1999 (25) 2000 (27) 2001 (17) 2002 (30) 2003 (46) 2004 (40) 2005 (30) 2006 (43) 2007 (34) 2008 (29) 2009 (22) 2010-2012 (17) 2013-2015 (16) 2016-2018 (19) 2019-2020 (22) 2021-2022 (27) 2023 (19) 2024 (4)
Publication types (Num. hits)
article(166) inproceedings(416) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 437 occurrences of 290 keywords

Results
Found 583 publication records. Showing 583 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
128Andrew B. Kahng, Sherief Reda Zero-Change Netlist Transformations: A New Technique for Placement Benchmarking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
99Scott W. Hadley, Brian L. Mark, Anthony Vannelli An efficient eigenvector approach for finding netlist partitions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
82P. S. Nagendra Rao, C. S. Jayathirtha, C. S. Raghavendra Prasad New Net Models for Spectral Netlist Partitioning. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Netlist Partitioning, Spectral Partitioning Net Models, Clique Models, Star Models, Graph Partitioning
79Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes Performance optimization by interacting netlist transformations andplacement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
75Steven D. Corey, Andrew T. Yang Automatic netlist extraction for measurement-based characterization of off-chip interconnect. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MCM substrate-level interconnect circuitry, SPICE netlist, automatic netlist extraction, linear circuits, measured time domain refectometry data, measurement-based characterization, microstrip circuits, multiport system, off-chip interconnect, reflection transmission, time-domain scattering parameters, user-specified cutoff frequency, delay, crosstalk, circuit simulator, multichip modules, nonlinear circuits
69Larry G. Jones Fast batch incremental netlist compilation hierarchical schematics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
62Qinghua Liu, Malgorzata Marek-Sadowska A study of netlist structure and placement efficiency. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF netlist structure, efficiency, placement
60Andrew B. Kahng, Sherief Reda Evaluation of placer suboptimality via zero-change netlist transformations. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placer suboptimality, benchmarking, wirelength
60Pierluigi Daglio, David Iezzi, Danilo Rimondi, Carlo Roma, Salvatore Santapa Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60J. H. Jiang, Wen-Ben Jone, Shih-Chieh Chang, Swaroop Ghosh Embedded core test generation using broadcast test architecture and netlist scrambling. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Jeehong Yang, Serap A. Savari, Oskar Mencer An Approach to Graph and Netlist Compression. Search on Bibsonomy DCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Graph Compression, Netlist Compression, EDIF Compression, CEDIF, SUBDUE, GRAPHITOUR
51Jin-Tai Yan, Pei-Yung Hsiao A new fuzzy-clustering-based approach for two-way circuit partitioning. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fuzzy-clustering-based approach, two-way circuit partitioning, circuit netlist, undirected edge-weighted graph, tree net model, clustering distance, area information, area-balanced constraints, circuit benchmarks, VLSI, simulated annealing, network topology, trees (mathematics), fuzzy set theory, logic partitioning, fuzzy c-means clustering, fuzzy memberships
50Jean-Baptiste Note, Éric Rannaud From the bitstream to the netlist. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bitstream format, FPGA, reverse-engineering
48Cheng Xie, Wenzhi Chen, Jiaoying Shi, Lü Ye Hierarchical Integration of Runtime Models. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Charles J. Alpert, Andrew B. Kahng Multiway partitioning via geometric embeddings, orderings, and dynamic programming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
42Reilly McKendrick, Keenan Faulkner, Jeffrey Goeders Assuring Netlist-to-Bitstream Equivalence using Physical Netlist Generation and Structural Comparison. Search on Bibsonomy ICFPT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
41Kaushik De Test methodology for embedded cores which protects intellectual property. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core I/Os, ASIC I/O inaccessibility, partial netlist generation, ASIC level test generation, gate testing, core scan chain, selective boundary scan, coreware design paradigm, logic testing, heuristic algorithm, structural analysis, intellectual property protection, embedded cores, test methodology
40Jason Baumgartner, Hari Mony, Adnan Aziz Optimal Constraint-Preserving Netlist Simplification. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Renato Fernandes Hentschke, Sandro Sawicki, Marcelo O. Johann, Ricardo Augusto da Luz Reis An Algorithm for I/O Partitioning Targeting 3D Circuits and Its Impact on 3D-Vias. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Pranav Anbalagan, Jeffrey A. Davis A priori prediction of tightly clustered connections based on heuristic classification trees. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wire length prediction
32Bita Gorjiara, Daniel Gajski Automatic architecture refinement techniques for customizing processing elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GNR, nanocoded architectures, no-instruction-set computer (NISC), refinement, high-level synthesis, power, ASIP, datapath, netlist
32Robert F. Lembach, Rafael A. Arce-Nazario, Donald Eisenmenger, Cory Wood A diagnostic method for detecting and assessing the impact of physical design optimizations on routing. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF routing, efficiency, timing, placement, physical synthesis, netlist
32Peter J. Osler Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synthesis, placement, application specific integrated circuit (ASIC), register transfer level (RTL), static timing analysis (STA), netlist
32Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian TOF: a tool for test pattern generation optimization of an FPGA application oriented test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TOF tool, test pattern generation optimization, FPGA application oriented test, application-oriented test procedure, RAM-based FPGAs, AC nonredundant fault coverage, circuit netlist, TPG optimisation tool, field programmable gate arrays, logic testing, optimisation, integrated circuit testing, automatic test pattern generation, ATPG
32Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero, Massimo Violante A genetic algorithm-based system for generating test programs for microprocessor IP cores. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF industrial property, genetic algorithm based system, test program generation, microprocessor IP cores, digital systems design trend, design-and-reuse paradigm, intellectual property cores, encrypted gate-level netlist, testability problems, automatic approach, processor cores, fault coverage figures, random approach, genetic algorithms, genetic algorithms, microprocessor chips, automatic test software, test program, electronic engineering computing
32Emmanuel Simeu, Arno W. Peters, Iyad Rayane Automatic Design of Optimal Concurrent Fault Detector for Linear Analog Systems. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF concurrent, detection, state space, residual, netlist
32Fumio Suzuki, Hisao Koizumi, M. Hiramine, K. Yamamoto, Hiroto Yasuura, K. Okino A HW/SW co-design environment for multi-media equipments development using inverse problem. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF audio circuitry, conceptual stage, development cycle reduction, hardware/software codesign environment, human recognition characteristics, human sensibilities, multimedia equipment development, netlist generation, repeated results comparison, semiconductor circuits, semiconductor production, signal reproduction, system response, television receiver, evaluation, perception, multimedia communication, inverse problem, cost estimates, performance estimates, optimization method, filter design, numerical models, susceptibility, playback
32Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Enric Pastor, Alexandre Yakovlev Decomposition and technology mapping of speed-independent circuits using Boolean relations. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Boolean decomposition, decomposed logic sharing, design library, library matching, logic decomposition, logic resynthesis, signal insertion, two-input combinational gate, two-input sequential gate, optimization, technology mapping, circuit CAD, speed-independent circuits, netlist, complex gates, Boolean relations
32Paul Tafertshofer, Andreas Ganz, Manfred Henftling A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SAT-based implication engine, circuit clause description, efficient ATPG, implication evaluation, indirect implications, netlist optimization, structure based methods, graph algorithms, automatic testing, logic circuits, graph model, equivalence checking, implication graph
32H. Fatih Ugurdag, Thomas E. Fuhrman Autocircuit: a clock edge general behavioral synthesis system with a direct path to physical datapath. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Autocircuit, clock edge general behavioral synthesis system, physical datapaths, next-generation synthesis tool, behavioral HDL input descriptions, data-flow representations, use-trees, raw-states, word-oriented synthesis, unique parameterized netlist representation, high level synthesis, high-level design
32Louis Monier, Ramsey W. Haddad, Jeremy Dion Recursive layout generation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BiCMOS digital integrated circuits, recursive layout generation, layout directives, netlist description, hand-drawn layout, synthesized layout, overall layout, dense VLSI, VLSI, logic CAD, circuit layout CAD, microprocessor chips, microprocessor chips, VLSI chips, seamless integration
32C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
32Yuhong Yu, Ashok Samal, Sharad C. Seth A system for recognizing a large class of engineering drawings. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF engineering drawings recognition, symbolic engineering drawings, chemical plant diagrams, automatic recognition, domain-independent rules segment symbols, understanding subsystem, domain-specific matchers, printed images, graphical user interface, design verification, large database, flowcharting, flowcharts, netlist, residual errors
31Rajat Subhra Chakraborty, Swarup Bhunia Hardware protection and authentication through netlist level obfuscation. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IP piracy, design for security, hardware authentication, hardware obfuscation, hardware protection
31Aaron P. Hurst Automatic synthesis of clock gating logic with controlled netlist perturbation. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, clock gating, logic optimization, dynamic power
31Qinghua Liu, Malgorzata Marek-Sadowska A study of netlist structure and placement efficiency. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Sadiq M. Sait, Aiman H. El-Maleh, Rush H. Al-Abuji Simulated evolution algorithm for multiobjective VLSI netlist bi-partitioning. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Hendrik Hartje, Ingmar Neumann, Dominik Stoffel, Wolfgang Kunz Cycle time optimization by timing driven placement with simultaneous netlist transformations. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Charles J. Alpert, Andrew B. Kahng A general framework for vertex orderings, with applications to netlist clustering. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Suresh Raman, Mike Lubyanitsky Cone Resynthesis ECO Methodology for Multi-Million Gate Designs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke Word-Level Predicate-Abstraction and Refinement Techniques for Verifying RTL Verilog. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Lijun Li, Carl Tropper A Design-Driven Partitioning Algorithm for Distributed Verilog Simulation. Search on Bibsonomy PADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Ning Lu, Judy H. McCullen Enablement of Variation-Aware Timing: Treatment of Parasitic Resistance and Capacitance. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jindrich Zejda, Li Ding 0002 TBNM - Transistor-Level Boundary Model for Fast Gate-Level Noise Analysis of Macro Blocks. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jason Baumgartner, Hari Mony Maximal Input Reduction of Sequential Netlists via Synergistic Reparameterization and Localization Strategies. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Measurements for structural logic synthesis optimizations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Fine-Grain Phased Logic CPU. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Coarse-Grain Phased Logic CPU. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Metrics for structural logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Phillip Christie Rent exponent prediction methods. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Jennifer L. White, Anthony S. Wojcik, Moon-Jung Chung, Travis E. Doom Candidate subcircuits for functional module identification in logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Chia-Pin R. Liu, Jacob A. Abraham Transistor Level Synthesis for Static CMOS Combinational Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Norio Kuji Guided-Probe Diagnosis of Macro-Cell-Designed LSI Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Electron beam testers, Guided-probe diagnosis, Memory-macro cells, Logic-behavior models, Logic simulation
29Jason Cong, Wilburt Labio, Narayanan Shivakumar Multiway VLSI circuit partitioning based on dual net representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Jason Cong, Wilburt Labio, Narayanan Shivakumar Multi-way VLSI circuit partitioning based on dual net representation. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Lars W. Hagen, Andrew B. Kahng New spectral methods for ratio cut partitioning and clustering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Ajay Kumar Verma, Paolo Ienne Improving XOR-Dominated Circuits by Exploiting Dependencies between Operands. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiplier-like circuits, XOR-dominated circuits, datapath design, netlist optimization, carry-save form, column compressors, XOR operations, logic synthesizers, algebraic factoring, computer arithmetic, logic synthesis, digital design, parallel multipliers
21Inseong Jeon, Hyunho Park, Taehwan Yoon, Hanwool Jeong High Efficiency Variation-Aware SRAM Timing Characterization via Machine-Learning-Assisted Netlist Extraction. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Zhishang Luo, Truong Son Hy, Puoya Tabaghi, Donghyeon Koh, Michaël Defferrard, Elahe Rezaei, Ryan Carey, W. Rhett Davis, Rajeev Jain, Yusu Wang 0001 DE-HNN: An effective neural model for Circuit Netlist representation. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Gus Henry Smith, Zachary D. Sisco, Thanawat Techaumnuaiwit, Jingtao Xia, Vishal Canumalla, Andrew Cheung, Zachary Tatlock, Chandrakana Nandi, Jonathan Balkind There and Back Again: A Netlist's Tale with Much Egraphin'. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Zhishang Luo, Truong Son Hy, Puoya Tabaghi, Michaël Defferrard, Elahe Rezaei, Ryan Carey, W. Rhett Davis, Rajeev Jain, Yusu Wang 0001 DE-HNN: An effective neural model for Circuit Netlist representation. Search on Bibsonomy AISTATS The full citation details ... 2024 DBLP  BibTeX  RDF
21Yiding Wei, Jun Liu, Dengbao Sun, Guodong Su, Junchao Wang From Netlist to Manufacturable Layout: An Auto-Layout Algorithm Optimized for Radio Frequency Integrated Circuits. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor FSMx-Ultra: Finite State Machine Extraction From Gate-Level Netlist for Security Assessment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Hazem Lashen, Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Ann Jelyn Tiempo, Yong-Jin Jeong Implementing Region-Based Segmentation for Hardware Trojan Detection in FPGAs Cell-Level Netlist. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor ARC-FSM-G: Automatic Security Rule Checking for Finite State Machine at the Netlist Abstraction. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
21Xuenong Hong, Tong Lin 0001, Yiqiong Shi, Bah-Hwee Gwee GraphClusNet: A Hierarchical Graph Neural Network for Recovered Circuit Netlist Partitioning. Search on Bibsonomy IEEE Trans. Artif. Intell. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Madhav Nair, Rajat Sadhukhan, Hammond Pearce, Debdeep Mukhopadhyay, Ramesh Karri Netlist Whisperer: AI and NLP Fight Circuit Leakage! Search on Bibsonomy ASHES@CCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Helmut Graeb, Markus Leibl Learning from the Implicit Functional Hierarchy in an Analog Netlist. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Manuel Jirsak, Henning Siemen, Jonas Lienke, Martin Grabmann, Eric Schäfer, Georg Gläser Under Cover: On-FPGA Coverage Monitoring by Netlist Instrumentation. Search on Bibsonomy SMACD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Kyungjun Min, Seongbin Kwon, Sung-Yun Lee, Dohun Kim, Sunghye Park, Seokhyeong Kang ClusterNet: Routing Congestion Prediction and Optimization Using Netlist Clustering and Graph Neural Networks. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Su Zheng, Lancheng Zou, Peng Xu, Siting Liu 0002, Bei Yu 0001, Martin D. F. Wong Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Animesh Basak Chowdhury, Jitendra Bhandari, Luca Collini, Ramesh Karri, Benjamin Tan 0001, Siddharth Garg ConVERTS: Contrastively Learning Structurally InVariant Netlist Representations. Search on Bibsonomy MLCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Julien Rodriguez, François Galea, François Pellegrini, Lilia Zaourar A Hypergraph Model and Associated Optimization Strategies for Path Length-Driven Netlist Partitioning. Search on Bibsonomy ICCS (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Hazem Lashen, Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Liang Hong, Ge Zhu, Jing Zhou, Xuefei Li, Ziyi Chen, Wei Hu 0008 Hunting for Hardware Trojan in Gate Netlist: A Stacking Ensemble Learning Perspective. Search on Bibsonomy ITC-Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21James Geist, Travis Meade, Shaojie Zhang, Yier Jin NetViz: A Tool for Netlist Security Visualization. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor ARC-FSM-G: Automatic Security Rule Checking for Finite State Machine at the Netlist Abstraction. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Waqas Uzair, Douglas Chai, Alexander Rassau Automated Netlist Generation from Offline Hand-Drawn Circuit Diagrams. Search on Bibsonomy DICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Hassan Salmani Gradual-N-Justification (GNJ) to Reduce False-Positive Hardware Trojan Detection in Gate-Level Netlist. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Shichao Yu, Chongyan Gu, Weiqiang Liu 0001, Máire O'Neill Deep Learning-Based Hardware Trojan Detection With Block-Based Netlist Information Extraction. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor FSMx-Ultra: Finite State Machine Extraction from Gate-Level Netlist for Security Assessment. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
21Chi-Wei Chen, Pei-Yu Lo, Wei-Ting Hsu, Chih-Wei Chen, Chin-Wei Tien, Sy-Yen Kuo A Hardware Trojan Insertion Framework against Gate-Level Netlist Structural Feature-based and SCOAP-based Detection. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Erwei Wang, James J. Davis 0001, Georgios-Ilias Stavrou, Peter Y. K. Cheung, George A. Constantinides, Mohamed S. Abdelfattah Logic Shrinkage: Learned FPGA Netlist Sparsity for Efficient Neural Network Inference. Search on Bibsonomy FPGA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Alexander Hepp, Johanna Baehr, Georg Sigl Golden Model-Free Hardware Trojan Detection by Classification of Netlist Module Graphs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Jeffrey Todd McDonald, Jennifer Parnell, Todd R. Andel, Samuel H. Russ Effectiveness of Adversarial Component Recovery in Protected Netlist Circuit Designs. Search on Bibsonomy SECRYPT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Marie Auffret, Erwei Wang, James J. Davis 0001 FPL Demo: Logic Shrinkage: A Neural Architecture Search-Based Approach to FPGA Netlist Generation. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Brunno A. Abreu, Guilherme Paim, Jorge Castro-Godínez, Mateus Grellert, Sergio Bampi On the Netlist Gate-level Pruning for Tree-based Machine Learning Accelerators. Search on Bibsonomy LASCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Ahmet Emre Sertdemir, Mehmet Besenk, Tugba Dalyan, Y. Daghan Gokdel, Engin Afacan From Image to Simulation: An ANN-based Automatic Circuit Netlist Generator (Img2Sim). Search on Bibsonomy SMACD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Reilly McKendrick, Corey Simpson, Brent Nelson, Jeffrey Goeders Leveraging FPGA Primitives to Improve Word Reconstruction during Netlist Reverse Engineering. Search on Bibsonomy FPT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Raveena Raikar, Dirk Stroobandt Multi-Die Heterogeneous FPGAs: How Balanced Should Netlist Partitioning be? Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Guangwei Zhao, Kaveh Shamsi Graph Neural Network based Netlist Operator Detection under Circuit Rewriting. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Hassan Salmani The Improved COTD Technique for Hardware Trojan Detection in Gate-level Netlist. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Rasheed Kibria, Nusrat Farzana, Farimah Farahmandi, Mark M. Tehranipoor FSMx: Finite State Machine Extraction from Flattened Netlist With Application to Security. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Shamminuj Aktar, Abdel-Hameed A. Badawy, Nandakishore Santhi Quantum Netlist Compiler (QNC). Search on Bibsonomy HPEC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Ziyi Wang, Chen Bai, Zhuolun He, Guangliang Zhang, Qiang Xu 0001, Tsung-Yi Ho, Bei Yu 0001, Yu Huang Functionality matters in netlist representation learning. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Da Meng, Yali Zheng Circuit Partitioning for PCB Netlist Based on Net Attributes. Search on Bibsonomy ICMLC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Jorge Castro-Godínez, Humberto Barrantes-García, Muhammad Shafique 0001, Jörg Henkel AxLS: A Framework for Approximate Logic Synthesis Based on Netlist Transformations. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Apostolos Stefanidis, Dimitrios Mangiras, Chrysostomos Nicopoulos, David G. Chinnery, Giorgos Dimitrakopoulos Autonomous Application of Netlist Transformations Inside Lagrangian Relaxation-Based Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Anindan Mondal, Rajesh Kumar Biswal, Mahabub Hasan Mahalat, Suchismita Roy, Bibhash Sen Hardware Trojan Free Netlist Identification: A Clustering Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 583 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license