The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase post-silicon (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2005 (19) 2006-2007 (28) 2008 (28) 2009 (33) 2010 (38) 2011 (39) 2012 (48) 2013 (34) 2014 (29) 2015 (28) 2016 (21) 2017 (34) 2018 (21) 2019 (20) 2020-2021 (23) 2022-2023 (20)
Publication types (Num. hits)
article(103) book(1) incollection(1) inproceedings(351) phdthesis(7)
Venues (Conferences, Journals, ...)
DAC(44) DATE(31) ICCAD(27) IEEE Trans. Comput. Aided Des....(25) ASP-DAC(18) ISQED(17) VTS(16) HLDVT(15) ITC(15) IEEE Trans. Very Large Scale I...(13) CoRR(12) ICCD(11) VLSI Design(11) ETS(10) Asian Test Symposium(9) ISVLSI(9) More (+10 of total 95)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 97 occurrences of 67 keywords

Results
Found 463 publication records. Showing 463 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
102Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici Post-silicon validation opportunities, challenges and recent advances. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon validation
92Amir Nahir, Avi Ziv, Rajesh Galivanche, Alan J. Hu, Miron Abramovici, Albert Camilleri, Bob Bentley, Harry Foster, Valeria Bertacco, Shakti Kapoor Bridging pre-silicon verification and post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon, pre-silicon, verification, validation
92Lin Xie, Azadeh Davoodi, Kewal K. Saluja Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon diagnosis, process variations
86Jagannath Keshava, Nagib Hakim, Chinna Prudvi Post-silicon validation challenges: how EDA and academia can help. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, verification, test, validation, emulation
78Yu Huang 0005, Wu-Tung Cheng Using embedded infrastructure IP for SOC post-silicon verification. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA core, infrastructure IP (I-IP), post-silicon verification, transaction-based verification
75Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra BLoG: post-silicon bug localization in processors using bug localization graphs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IFRA, BLoG, silicon debug, post-silicon validation
75Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng A path-based methodology for post-silicon timing validation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
74Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
70Sandip Ray, Warren A. Hunt Jr. Connecting pre-silicon and post-silicon verification. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
59Andrew DeOrio, Ilya Wagner, Valeria Bertacco Dacota: Post-silicon validation of the memory subsystem in multi-core designs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
58Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
58Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 Variability-driven module selection with joint design time optimization and post-silicon tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Lin Xie, Azadeh Davoodi Representative path selection for post-silicon timing prediction under variability. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variations, post-silicon validation
55Qunzeng Liu, Sachin S. Sapatnekar Synthesizing a representative critical path for post-silicon delay prediction. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon optimization, representative critical path
55Xiao Liu 0011, Qiang Xu 0001 Interconnection fabric design for tracing signals in post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-based debug, post-silicon validation
55Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
49Mahesh Ketkar, Eli Chiprout A microarchitecture-based framework for pre- and post-silicon power delivery analysis. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Andrew DeOrio, Adam Bauserman, Valeria Bertacco Post-silicon verification for cache coherence. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Shiyan Hu, Jiang Hu Unified adaptivity optimization of clock and logic signals. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock signal tuning, logic signal tuning, post-silicon tuning, robustness, variation
47Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Farinaz Koushanfar, Petros Boufounos, Davood Shamsi Post-silicon timing characterization by compressed sensing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Sung-Boem Park, Subhasish Mitra IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF verification, debug, validation, design for debug
47Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Automating post-silicon debugging and repair. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Xin Li 0001, Brian Taylor, YuTsun Chien, Lawrence T. Pileggi Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45John Goodenough 0001, Rob Aitken Post-silicon is too late avoiding the $50 million paperweight starts with validated designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power design, emulation, post-silicon validation
45Ho Fai Ko, Nicola Nicolici Resource-Efficient Programmable Trigger Units for Post-Silicon Validation. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable trigger unit, false trigger analysis, post-silicon validation
45Kelageri Nagaraj, Sandip Kundu Process variation mitigation via post silicon clock tuning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon tuning, performance, process variation
39Ryan Cochran, Abdullah Nazma Nowroz, Sherief Reda Post-silicon power characterization using thermal infrared emissions. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power characterization, thermal infrared emissions
38Rajeev K. Ranjan 0001, Claudionor Coelho, Sebastian Skalberg Beyond verification: leveraging formal for debugging. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF behavioral indexing, post-silicon debugging, traceless debugging, formal verification, debugging, property verification
38Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Online cache state dumping for processor debug. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache compression, processor debug, silicon debug, design for debug, post-silicon validation
37Flavio M. de Paula, Marcel Gort, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 BackSpace: Formal Analysis for Post-Silicon Debug. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Pouria Bastani, Kip Killpack, Li-C. Wang, Eli Chiprout Speedpath prediction based on learning from a small set of examples. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF speedpath, learning, timing analysis
34Qing K. Zhu, Paige Kolze Metal Fix and Power Network Repair for SOC. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Yeonbok Lee, Takeshi Matsumoto, Masahiro Fujita Generation of I/O sequences for a high-level design from those in post-silicon for efficient post-silicon debugging. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
33Qunzeng Liu, Sachin S. Sapatnekar Confidence Scalable Post-Silicon Statistical Delay Prediction under Process Variations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Li-C. Wang Regression Simulation: Applying Path-Based Learning In Delay Test and Post-Silicon Validation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Eli Chiprout On-die power grids: the missing link. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decap, voltage, locality, power grid, resonance
32Saraju P. Mohanty Unified Challenges in Nano-CMOS High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Tommy Bojan, Igor Frumkin, Robert Mauri Intel First Ever Converged Core Functional Validation Experience: Methodologies, Challenges, Results and Learning. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Yousra Alkabani, Tammara Massey, Farinaz Koushanfar, Miodrag Potkonjak Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF input vector control, low power, manufacturing variability
31Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao Spare-cell-aware multilevel analytical placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spare cells, placement, physical design
28Subhasish Mitra Robust System Design. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Robust systems, IFRA, BISER, Built-In Soft Error Resilience, Circuit Failure Prediction, On-line Self-Test, Reliability, Validation, aging, soft errors, post-silicon validation
28Wenchao Li 0001, Alessandro Forin, Sanjit A. Seshia Scalable specification mining for verification and diagnosis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF error localization, verification, formal specification, debugging, diagnosis, assertions, post-silicon validation
28Yu-Chien Kao, Hsuan-Ming Chou, Kun-Ting Tsai, Shih-Chieh Chang An efficient phase detector connection structure for the skew synchronization system. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF adjustable delay buffer, phase detector, post-silicon tuning
28Masahiro Fujita, Yoshihisa Kojima, Amir Masoud Gharehbaghi Debugging from high level down to gate level. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon debug, dependence analysis, system level design, equivalence checking, high-level design
28Nicholas Callegari, Dragoljub Gagi Drmanac, Li-C. Wang, Magdy S. Abadir Classification rule learning using subgroup discovery of cross-domain attributes responsible for design-silicon mismatch. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data mining, learning, timing analysis, delay test
27Ho Fai Ko, Nicola Nicolici Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Reap what you sow: spare cells for post-silicon metal fix. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Murari Mani, Ashish Kumar Singh, Michael Orshansky Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Atanu Chattopadhyay, Zeljko Zilic Serial reconfigurable mismatch-tolerant clock distribution. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, clock skew, clock networks
26K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Anala, S. Gayathri, Ramesh Ramaswamy, Chetan Waghmare An Approach to Mathematically Correlate Timing of Transaction Activity Between Pre-silicon and Post-silicon Environment. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Cheng Zhuo, Bei Yu 0001, Di Gao Accelerating chip design with machine learning: From pre-silicon to post-silicon. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
26Eshan Singh, David Lin, Clark W. Barrett, Subhasish Mitra Symbolic Quick Error Detection for Pre-Silicon and Post-Silicon Validation: Frequently Asked Questions. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
26Fa Wang Efficient Pre-Silicon Validation and Post-Silicon Tuning of Self-Healing Analog/RF Integrated Circuits. Search on Bibsonomy 2015   DOI  RDF
26Xin Li 0001, Fa Wang, Shupeng Sun, Chenjie Gu Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Prasanjeet Das, Sandeep K. Gupta 0001 Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Mehdi Dehbashi Debug automation from pre-silicon to post-silicon. Search on Bibsonomy 2013   RDF
26Mehdi Dehbashi, Görschwin Fey Automated debugging from pre-silicon to post-silicon. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Allon Adir, Shady Copty, Shimon Landa, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann A unified methodology for pre-silicon verification and post-silicon validation. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Allon Adir, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Gary Miller, Bandana Bhattarai, Yu-Chin Hsu, Jay Dutt, Xi Chen 0024, George Bakewell A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Robert C. Aitken The challenges of correlating silicon and models in high variability CMOS processes. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design validation
22Walid Ibrahim A Novel EDA Tool for VLSI Test Vectors Management. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test vectors selection, Genetic algorithms, Verification, VLSI, EDA tools
22Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22K. Uday Bhaskar, M. Prasanth, V. Kamakoti 0001, Kailasnath Maneparambil A Framework for Automatic Assembly Program Generator (A2PG) for Verification and Testing of Processor Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yongquan Fan, Zeljko Zilic Accelerating jitter tolerance qualification for high speed serial interfaces. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Bonesi Stefano, Davide Bertozzi, Luca Benini, Enrico Macii Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Desta Tadesse, D. Sheffield, E. Lenge, R. Iris Bahar, Joel Grodstein Accurate timing analysis using SAT and pattern-dependent delay models. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Fakir Sharif Hossain, Tomokazo Yuneda An exquisitely sensitive variant-conscious post-silicon Hardware Trojan detection. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Gabriele Tombesi, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Maico Cassel dos Santos, Tianyu Jia, David Brooks 0001, Gu-Yeon Wei, Luca P. Carloni SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Vedika Saravanan, Mohammad Walid Charrwi, Samah Mohamed Saeed Revisiting Trojan Insertion Techniques for Post-Silicon Trojan Detection Evaluation. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Marco Gonzalez, David Bol Post-Silicon Optimization of a Highly Programmable 64-MHz PLL Achieving 2.7-5.7 μW. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Peter Domanski, Dirk Pflüger, Raphaël Latty Learn to Tune: Robust Performance Tuning in Post-Silicon Validation. Search on Bibsonomy ETS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Kevin Weston, Vahid Janfaza, Abhishek Taur, Dhara Mungra, Arnav Kansal, Mohamed Zahran, Abdullah Muzahid Post-Silicon Customization Using Deep Neural Networks. Search on Bibsonomy ARCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mohd Amiruddin Zainol, Sompon Khamron, Ng Gua Bin Optimizing Post-Silicon Validation for FPGA Serial Configuration using an Automation Framework and Timing Characterization Verification. Search on Bibsonomy ITC-Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Judy Amanor-Badu, Ritchie Rice, Azizi Shuma, Rishik Bazaz, Horthense Tamdem Pre and post silicon server platform transient performance using trans-inductor voltage regulator. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Swati Shilaskar, Anup Behare, Ketki Sonawane, Shripad Bhatlawande Post Silicon Validation for I2C (SMBUS) Peripheral. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Masoud Pashaeifar, Leo C. N. de Vreede, Morteza S. Alavi A Millimeter-Wave CMOS Series-Doherty Power Amplifier With Post-Silicon Inter-Stage Passive Validation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yiannakis Sazeides, Arkady Bramnik, Ron Gabor, Ramon Canal A Real-Time Error Detection (RTD) Architecture and Its Use for Reliability and Post-Silicon Validation for F/F Based Memory Arrays. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sheriff Sadiqbatcha, Jinwei Zhang, Hussam Amrouch, Sheldon X.-D. Tan Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning Perspective. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yiwen Liao, Bin Yang 0009, Raphaël Latty, Jochen Rivoir A Deep-Learning-Aided Pipeline for Efficient Post-Silicon Tuning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yiwen Liao, Raphaël Latty, Bin Yang 0009 Experts in the Loop: Conditional Variable Selection for Accelerating Post-Silicon Analysis Based on Deep Learning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yi Lv, Houpeng Chen, Qian Wang, Xi Li 0012, Chenchen Xie, Zhitang Song Post-silicon nano-electronic device and its application in brain-inspired chips. Search on Bibsonomy Frontiers Neurorobotics The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sih Pin Tan, Yung It Ho Scalability of Post-Silicon Test Generation for Multi-core RISC-V SOC Validation. Search on Bibsonomy MCSoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Hussam Amrouch, Krishnendu Chakrabarty, Dirk Pflüger, Ilia Polian, Matthias Sauer 0002, Matteo Sonza Reorda Machine Learning for Test, Diagnosis, Post-Silicon Validation and Yield Optimization. Search on Bibsonomy ETS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Qiang Fang, Longyang Lin, Yao Zu Wong, Hui Zhang, Massimo Alioto Side-Channel Attack Counteraction via Machine Learning-Targeted Power Compensation for Post-Silicon HW Security Patching. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Riccardo Cantoro, Francesco Garau, Riccardo Masante, Sandro Sartoni, Virendra Singh, Matteo Sonza Reorda Exploiting post-silicon debug hardware to improve the fault coverage of Software Test Libraries. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jun-Yang Lei, Abhijit Chatterjee ML-Assisted Bug Emulation Experiments for Post-Silicon Multi-Debug of AMS Circuits. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sidhartha Sankar Rout, Sujay Deb, Kanad Basu WiND: An Efficient Post-Silicon Debug Strategy for Network on Chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sheriff Sadiqbatcha, Jinwei Zhang, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Debjit Pal, Shobha Vasudevan Feature Engineering for Scalable Application-Level Post-Silicon Debugging. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Peter Domanski, Dirk Pflüger, Jochen Rivoir, Raphaël Latty Self-Learning Tuning for Post-Silicon Validation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Pantea Kiaei, Zhenyuan Liu, Ramazan Kaan Eren, Yuan Yao, Patrick Schaumont Saidoyoki: Evaluating side-channel leakage in pre- and post-silicon setting. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
17Subashree Raja, Padmaja Bhamidipati, Xiaobang Liu, Ranga Vemuri Security Capsules: An Architecture for Post-Silicon Security Assertion Validation for Systems-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Tom Kolan, Hillel Mendelson, Vitali Sokhin, Shai Doron, Hernan Theiler, Shay Aviv, Hagai Hadad, Natalia Freidman, Elena Tsanko, John M. Ludden, Bryant Cockcroft Post Silicon Validation of the MMU. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sandip Ray, Arani Sinha Synergies Between Delay Test and Post-silicon Speed Path Validation: A Tutorial Introduction. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 463 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license