The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase register-transfer-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1986 (17) 1987-1990 (18) 1991-1993 (19) 1994-1995 (19) 1996-1997 (27) 1998 (28) 1999 (23) 2000 (30) 2001 (32) 2002 (32) 2003 (28) 2004 (39) 2005 (36) 2006 (36) 2007 (43) 2008 (31) 2009 (16) 2010-2013 (18) 2014-2019 (15) 2020-2022 (19) 2023-2024 (5)
Publication types (Num. hits)
article(176) incollection(2) inproceedings(350) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 443 occurrences of 279 keywords

Results
Found 531 publication records. Showing 531 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Ney Laert Vilar Calazans, Edson I. Moreno, Fabiano Hessel, Vitor M. da Rosa, Fernando Moraes 0001, Everton Carara From VHDL Register Transfer Level to SystemC Transaction Level Modeling: A Comparative Case Study. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF transaction level, VHDL, SystemC, System modeling, register transfer level
62Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
61Ramesh Karri, Balakrishnan Iyer Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Concurrent error detection, register transfer level, on line testing
60Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
59Ramesh Karri, Balakrishnan Iyer, Israel Koren Phantom redundancy: a register transfer level technique for gracefully degradable data path synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
59Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design-for-testability technique for register-transfer level circuits using control/data flow extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
59Sitaran Yadavalli, Irith Pomeranz, Sudhakar M. Reddy MUSTC-Testing: Multi-Stage-Combinational Test scheduling at the Register-Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MUSTC-testing, multi-stage-combinational test, control paths, signal types, module level pre-computed test sets, scheduling, logic testing, integrated circuit testing, combinational circuits, automatic testing, automatic test, register-transfer level, test scheduling, data-paths
56Han Liang, Piyush Mishra, Kaijie Wu 0001 Error Correction On-Demand: A Low Power Register Transfer Level Concurrent Error Correction Technique. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concurrent error detection, register-transfer level, single-event upsets, hardware redundancy
49Jason Cong, Yiping Fan, Junjuan Xu Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed register file, Behavioral synthesis, resource binding
46Matthias Mutz Register Transfer Level VHDL Models without Clocks. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VHDL RT subset, register transfer level models
46Vijay Pitchumani, Edward P. Stabler Verification of Register Transfer Level Parallel Control Sequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF critical region, parallel control sequence, Assertion, register transfer level, shared resource, clock cycle, auxiliary variable, verification condition
46Vijay Pitchumani, Edward P. Stabler An Inductive Assertion Method for Register Transfer Level Design Verification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF inductive assertion method, synchronous logic, theorem proving, Assertions, predicate calculus, register transfer level design, verification condition
45Jay K. Adams, John Alan Miller, Donald E. Thomas Execution-time profiling for multiple-process behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution-time profiling, multiple-process behavioral synthesis, back-annotating, source description, behavioral simulation model, annotated behavioral simulation, high level synthesis, high-level synthesis, timing, timing, logic CAD, digital simulation, circuit analysis computing, hardware design, software profiling, register-transfer level model
44Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
42Joan Carletta, Christos A. Papachristou Structural constraints for circular self-test paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circular self-test paths, bit-level correlation, register adjacency, logic testing, built-in self test, built-in self test, integrated circuit testing, sequential circuits, automatic testing, flip-flops, test quality, register transfer level circuits
41Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara Strong self-testability for data paths high-level synthesis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment
40Li Shen 0002 VFSim: Concurrent Fault Simulation at Register Transfer Level. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, Verilog, high-level testing, circuit modeling
40Zebo Peng, Krzysztof Kuchcinski Automated transformation of algorithms into register-transfer level implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
40Frank Mayer, Albrecht P. Stroele A Versatile BIST Technique Combining Test Registers and Accumulators. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test register, built-in self-test, register-transfer level, accumulator
39Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design for hierarchical testability, gate-level sequential test generation, controller data path circuits, large data path bit-widths, minimal test hardware, system-level test set, logic testing, high level synthesis, high level synthesis, integrated circuit testing, design for testability, design for testability, automatic testing, logic CAD, integrated circuit design, behavioral synthesis, logic gates, register-transfer level design, RTL circuits
38Thomas Bräunl Register-Transfer Level Simulation. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Jaan Raik, Raimund Ubar Fast Test Pattern Generation for Sequential Circuits Using Decision Diagram Representations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical test pattern generation, sequential circuits, register-transfer level, decision diagrams
37Min Xu, Fadi J. Kurdahi Layout-Driven RTL Binding Techniques for High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout-driven register-transfer-level, binding techniques, chip level implementation, high level synthesis, high-level synthesis, design process
36Yu Huang 0005, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Wu-Tung Cheng, Sudhakar M. Reddy Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan synthesis, design for testability (DFT), register transfer level (RTL)
36Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Amin Asghari, Seied Ahmad Motamedi, Sepehr Attarchi Effective RTL Method to Develop On-Line Self-Test Routine for the Processors Using the Wavelet Transform. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF On-line low-cost testing, Spectral test pattern generating, Software-based self-testing (SBST), Register transfer level (RTL), Processor testing
35Shobha Vasudevan, Vinod Viswanath, Robert W. Sumners, Jacob A. Abraham Automatic Verification of Arithmetic Circuits in RTL Using Stepwise Refinement of Term Rewriting Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Register Transfer Level implementation, Verification, Hardware Description Languages, arithmetic logic unit
35Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan Power emulation: a new paradigm for power estimation. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, design methodologies, emulation, hardware acceleration, power estimation, register-transfer level, macromodels
35Zhigang Yin, Yinghua Min, Xiaowei Li 0001 An Approach to RTL Fault Extraction and Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ATPG (Automatic Test Pattern Generation), RTL (Register Transfer Level), Fault
35Zdenek Kotásek, F. Zboril RT level testability analysis to reduce test application time. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF register transfer level testability analysis, RTL element classification, RTL circuit transformation, labelled directed graph, PROLOG environment, implementation principles, logic testing, test application time reduction
35Zijian Zhou 0001, Xiaoyu Song, Francisco Corella, Eduard Cerny, Michel Langevin Partitioning transition relations efficiently and automatically. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic partitioning, state transition relations, abstract implicit state enumeration procedure, automatic verification method, graph theory, finite state machines, logic CAD, state estimation, logic partitioning, extended finite state machines, register transfer level designs, multiway decision graphs
35Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul A test evaluation technique for VLSI circuits using register-transfer level fault modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Matthias Müller 0002, Andreas Wortmann 0002, Dominik Mader, Sven Simon 0001 Register Isolation for Synthesizable Register Files. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Chun-hong Chen, Chi-Ying Tsui Towards the capability of providing power-area-delay trade-off at the register transfer level. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Kai Hylla Bridging the gap between precise RT-level power/timing estimation and fast high-level simulation: a method for automatically identifying and characterising combinational macros in synchronous sequential systems at register-transfer level and subsequent executable high-level model generation with respect to non-functional properties. Search on Bibsonomy 2014   RDF
32Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Bruce S. Greene, Samiha Mourad Partial Scan Testing on the Register-Transfer Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RT-level, fault coverage, partial scan, scan design, graph reduction
32Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression-based register-transfer level testability analysis and optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Loganathan Lingappan, Niraj K. Jha Efficient Design for Testability Solution Based on Unsatisfiability for Register-Transfer Level Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri Delay Constrained Register Transfer Level Dynamic Power Estimation. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Ho Fai Ko, Qiang Xu 0001, Nicola Nicolici Register-transfer level functional scan for hierarchical designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha Register binding-based RTL power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Héctor Navarro, Saeid Nooshabadi, Juan A. Montiel-Nelson, Victor Navarro-Botello, Javier Sosa, José C. García 0001 A geometric approach to register transfer level satisfiability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Felipe Machado, Teresa Riesgo, Yago Torroja Disjoint Region Partitioning for Probabilistic Switching Activity Estimation at Register Transfer Level. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity estimation, CAD, VHDL, BDD, power estimation, RTL, Switching activity, circuit partition, digital circuit design
30Anish Muttreja, Srivaths Ravi 0001, Niraj K. Jha Variability-Tolerant Register-Transfer Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Richard Stern, Nikhil Joshi, Kaijie Wu 0001, Ramesh Karri Register Transfer Level Concurrent Error Detection in Elliptic Curve Crypto Implementations. Search on Bibsonomy FDTC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Hiroyuki Iwata, Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Time Expansion Model at Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Kaijie Wu 0001, Ramesh Karri Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Indradeep Ghosh, Krishna Sekar, Vamsi Boppana Design for Verification at the Register Transfer Level. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Jens Schönherr, Bernd Straube Automatic Equivalence Check of Circuit Descriptions at Clocked Algorithmic and Register Transfer Level. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Laurence Tianruo Yang, Zebo Peng An Improved Register-Transfer Level Functional Partitioning Approach for Testability. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Johannes Steensma, Francky Catthoor, Hugo De Man Partial scan and symbolic test at the register-transfer level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data path test, partial scan selection, symbolic test pattern generation, partial scan application schemes
30Hiroshi Nakamura, Yuji Kukimoto, Masahiro Fujita, Hidehiko Tanaka A Data Path Verifier for Register Transfer Level Using Temporal Logic Language Tokio. Search on Bibsonomy CAV The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
30Rolf Ernst, S. Sutarwala, J.-Y. Jou, M. Tong Simulation based verification of register-transfer level behavioral synthesis tools. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Rami Beidas, Jianwen Zhu Scalable interprocedural register allocation for high level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yoshichika Fujioka, Michitaka Kameyama Configuration memory size reduction of a Dynamically Reconfigurable Processor based on a register-transfer-level packet data transfer scheme. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
26K. M. Deliparaschos, F. I. Nenedakis, Spyros G. Tzafestas Design and Implementation of a Fast Digital Fuzzy Logic Controller Using FPGA Technology. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF digital fuzzy logic controller, odd-even method, very high-speed hardware description language, synthesis, register transfer level, place and route
26Maciej J. Ciesielski, Priyank Kalla, Serkan Askar Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Register transfer level—design aids, arithmetic and logic structures—verification, symbolic and algebraic manipulation, verification
26Guy Dupenloup, Thierry Lemeunier, Roland Mayr Transistor abstraction for the functional verification of FPGAs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cone model, logic equivalence checking, transistor abstraction, FPGA, register transfer level, multiplexer, functional verification
26Jaan Raik, Tanel Nõmmeots, Raimund Ubar A New Testability Calculation Method to Guide RTL Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test pattern generation, register-transfer level, decision diagrams, testability measures
26Zainalabedin Navabi, Shahrzad Mirkhani, Meisam Lavasani, Fabrizio Lombardi Using RT Level Component Descriptions for Single Stuck-at Hierarchical Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hierarchical fault simulation, mixed level, delta times, VHDL, register transfer level
26Peter J. Osler Placement driven synthesis case studies on two sets of two chips: hierarchical and flat. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synthesis, placement, application specific integrated circuit (ASIC), register transfer level (RTL), static timing analysis (STA), netlist
26Mohamed Kawokgy, C. André T. Salama Low-power asynchronous viterbi decoder for wireless applications. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bundled-data, four-phase, low-power, synchronous, wireless, VHDL, digital signal processing, asynchronous, register transfer level, viterbi algorithm, speed-independent, handshaking protocol
26Zaher S. Andraus, Karem A. Sakallah Automatic abstraction and verification of verilog models. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF UCLID, logic of counter arithmetic with lambda expressions and uninter-preted functions (CLU), abstraction, register transfer level (RTL), verilog
26Tomokazu Yoneda, Hideo Fujiwara Design for Consecutive Transparency of Cores in System-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF consecutive transparency, design for testability, system-on a chip, register transfer level, test access mechanism, consecutive testability
26Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Integrated test of interacting controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in self-test, register transfer level, synthesis-for-testability
26Huawei Li 0001, Yinghua Min, Zhongcheng Li An RT-Level ATPG Based on Clustering of Circuit States. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cluster of states, automatic test pattern generation, register-transfer level, behavioral descriptions
26Kavel M. Büyüksahin, Farid N. Najm High-level power estimation with interconnect effects. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-level power estimation, interconnect capacitance estimation, register transfer level (RTL) power estimation
26Laurence Tianruo Yang, Zebo Peng Incremental Testability Analysis for Partial Scan Selection and Design Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF incremental testability analysis, partial scan selection, design transformation, register transfer level, high-level test synthesis
26Chuck Monahan, Forrest Brewer Scheduling and binding bounds for RT-level symbolic execution. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ALAP bounds, as-late-as-possible bounds, binding bounds, efficient operand mapping bound, exact scheduling problem, minimal schedule length, operand recomputation, point-to-point delays, pre-defined data path, register transfer level symbolic execution, time improvement factors, transitive memory units, processor scheduling
26Joan Carletta, Christos A. Papachristou Testability analysis and insertion for RTL circuits based on pseudorandom BIST. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits
26Masaru Takesue Cache Memories for Data Flow Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF data flow machines, dataflow caches, cache block replacement, cache memories, memory architecture, buffer storage, register transfer level simulator
26Louis J. Hafer, Alice C. Parker Automated Synthesis of Digital Hardware. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF hardware specification, computer-aided design, logic design, design automation, register-transfer level, hardware-descriptive language, ISP, Automated synthesis
26Mario Barbacci A Comparison of Register Transfer Languages for Describing Computers and Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF language properties, design automation, register transfer level, design languages, structural description, behavioral description, Asynchronous control
25Lin Zhong 0001, Jiong Luo, Yunsi Fei, Niraj K. Jha Register Binding Based Power Management for High-level Synthesis of Control-Flow Intensive Behaviors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Matthias Müller 0002, Andreas Wortmann 0002, Sven Simon 0001, S. Wolter, Steffen Buch, Marek Wróblewski, Josef A. Nossek Low power register file architecture for application specific DSPs. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Nazanin Mansouri, Ranga Vemuri Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Hau Sim Choo, Chia Yee Ooi, Michiko Inoue, Nordinah Ismail, Mehrdad Moghbel, Sreedharan Baskara Dass, Chee Hoo Kok, Fawnizu Azmadi Hussin Machine-Learning-Based Multiple Abstraction-Level Detection of Hardware Trojan Inserted at Register-Transfer Level. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Farnoud Farahmand, Duc Tri Nguyen, Viet Ba Dang, Ahmed Ferozpuri, Kris Gaj Software/Hardware Codesign of the Post Quantum Cryptography Algorithm NTRUEncrypt Using High-Level Synthesis and Register-Transfer Level Design Methodologies. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Pradeep Kumar Biswal, Santosh Biswas On-Line Testing of digital VLSI circuits at Register Transfer Level using High Level Decision Diagrams. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Kaijie Wu 0001, Ramesh Karri Algorithm-level recomputing with shifted operands-a register transfer level concurrent error detection technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kaijie Wu 0001, Ramesh Karri Algorithm Level Re-Computing - A Register Transfer Level Concurrent Error Detection Technique. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Kaijie Wu 0001, Ramesh Karri Algorithm level recomputing with allocation diversity: a register transfer level time redundancy based concurrent error detection technique. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Ramesh Karri, Kaijie Wu 0001 Algorithm level re-computing with shifted operands-a register transfer level concurrent error detection technique. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24J. Bhasker Synthesis at the Register Transfer Level and the Behavioral Level. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Adam Postula, David Abramson 0001, Ziping Fang, Paul Logothetis A Comparison of High Level Synthesis and Register Transfer Level Design Techniques for Custom Computing Machines. Search on Bibsonomy HICSS (7) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Anand Raghunathan, Niraj K. Jha SCALP: an iterative-improvement-based low-power data path synthesis system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Xin Wang, Tapani Ahonen, Jari Nurmi Applying CDMA Technique to Network-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Kamal S. Khouri, Niraj K. Jha Leakage Power Analysis and Reduction during Behavioral Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22K. J. Singh, P. A. Subrahmanyam Extracting RTL models from transistor netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Switch-level simulation, Formal verification, Extraction, RTL model
21Kiyoharu Hamaguchi, Hidekazu Urushihara, Toshinobu Kashiwabara Symbolic Checking of Signal-Transition Consistency for Verifying High-Level Designs. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Masayoshi Yoshimura, Atsuya Tsujikawa, Toshinori Hosokawa CRLock: A SAT and FALL Attacks Resistant Logic Locking Method for Controller at Register Transfer Level. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Priyanka Panigrahi, Vignesh Ravichandra Rao, Thockchom Birjit Singha, Chandan Karfa SRIL: Securing Registers from Information Leakage at Register Transfer Level. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Sarwono Sutikno, Septafiansyah Dwi Putra, Fajar Wijitrisnanto, Muhamad Erza Aminanto Detecting Unknown Hardware Trojans in Register Transfer Level Leveraging Verilog Conditional Branching Features. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Dang Van Pham, Phan Cong Vinh, Bao Khang Nguyen Algebraic Semantics of Register Transfer Level in Synthesis of Stream Calculus-Based Computing Big Data in Livestream. Search on Bibsonomy ICTCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 531 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license