The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reset with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1987 (16) 1988-1992 (18) 1993-1994 (18) 1995-1996 (16) 1997 (15) 1998-1999 (24) 2000 (19) 2001 (24) 2002 (23) 2003 (20) 2004 (39) 2005 (50) 2006 (56) 2007 (65) 2008 (66) 2009 (54) 2010 (30) 2011 (31) 2012 (21) 2013 (33) 2014 (37) 2015 (34) 2016 (33) 2017 (41) 2018 (50) 2019 (48) 2020 (58) 2021 (47) 2022 (56) 2023 (64) 2024 (17)
Publication types (Num. hits)
article(456) incollection(1) inproceedings(664) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 488 occurrences of 366 keywords

Results
Found 1123 publication records. Showing 1123 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
147Irith Pomeranz, Sudhakar M. Reddy On the Detection of Reset Faults in Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
140Gun Seo, Sun-Moo Kang, Dae Young Kim 0001, Hong-Bum Jeon A distributed cycle reset protocol for the high-speed LAN/MAN. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared medium access protocol, distributed cycle reset protocol, high-speed LAN/MAN, distributed cycle reset function, token reset, distributed cycle reset, access control, local area networks, access protocols, metropolitan area networks
132Dong Xiang, Yi Xu Partial Reset for Synchronous Sequential Circuits Using Almost Independent Reset Signals. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
112Vigyan Singhal, Sharad Malik, Robert K. Brayton The case for retiming with explicit reset circuitry. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reset state, synchronous reset, asynchronous reset, Retiming, initial state
91Roy Armoni, Doron Bustan, Orna Kupferman, Moshe Y. Vardi Resets vs. Aborts in Linear Temporal Logic. Search on Bibsonomy TACAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
85Huy Nguyen 0001, Rabindra K. Roy, Abhijit Chatterjee Partial Reset Methodology and Experiments for Improving Random-Pattern Testability and BIST of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit BIST, built0in self-test, fault propagation analysis, BIST, partial reset
73Yoshinobu Higami, Yuzo Takamatsu, Kozo Kinoshita Test sequence compaction for sequential circuits with reset states. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reset states, test compaction method, single stuck-at fault assumption, unremovable vectors, fault-dropping fault simulation, nonfault-dropping fault simulation, reset signal, test subsequences, logic testing, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, fault simulation, vectors, logic simulation, logic simulation, benchmark circuits, test vectors, signal detection, test sequence compaction
71Kohzoh Yoshino, Kentaro Takagi, Taishin Nomura, Shunsuke Sato, Mitsuo Tonoike MEG responses during rhythmic finger tapping in humans to phasic stimulation and their interpretation based on neural mechanisms. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
66Anish Arora, Mohamed G. Gouda Distributed Reset (Extended Abstract). Search on Bibsonomy FSTTCS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Additional Key Words and Phrases Self-stabilization, spanning trees, diffusing computations
61Yukiyoshi Kameyama Axioms for control operators in the CPS hierarchy. Search on Bibsonomy High. Order Symb. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Type system, Axiomatization, Delimited continuation, CPS translation, Control operator
61Yukiyoshi Kameyama Axioms for Delimited Continuations in the CPS Hierarchy. Search on Bibsonomy CSL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Stefano Battilotti State estimation of nonlinear systems with Markov state reset. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins A novel low-voltage cross-coupled passive sampling branch for reset- and switched-opamp circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Martin F. Arlitt, Carey L. Williamson An analysis of TCP reset behaviour on the internet. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF web, TCP, workload characterization, network traffic measurement
56Irith Pomeranz, Sudhakar M. Reddy On Full Reset as a Design-For-Testability Technique. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
56David Eppstein Reset Sequences for Finite Automata with Application to Design of Parts Orienters. Search on Bibsonomy ICALP The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
54Wil M. P. van der Aalst, Kees M. van Hee, Arthur H. M. ter Hofstede, Natalia Sidorova, H. M. W. Verbeek, Marc Voorhoeve, Moe Thandar Wynn Soundness of Workflow Nets with Reset Arcs. Search on Bibsonomy Trans. Petri Nets Other Model. Concurr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Workflow Nets, Reset Nets, Verification, Petri Nets, Decidability, Soundness
54Moe Thandar Wynn, Wil M. P. van der Aalst, Arthur H. M. ter Hofstede, David Edmond Verifying Workflows with Cancellation Regions and OR-Joins: An Approach Based on Reset Nets and Reachability Analysis. Search on Bibsonomy Business Process Management The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Workflow verification, Cancellation, OR-joins, Reset nets, YAWL
54Moe Thandar Wynn, David Edmond, Wil M. P. van der Aalst, Arthur H. M. ter Hofstede Achieving a General, Formal and Decidable Approach to the OR-Join in Workflow Using Reset Nets. Search on Bibsonomy ICATPN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF OR-join, synchronizing merge, Reset nets, Petri nets, Workflow patterns, YAWL
54Shaz Qadeer, Robert K. Brayton, Vigyan Singhal Latch Redundancy Removal Without Global Reset. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF global reset assumption, latch redundancy, safe replacement, delayed replacement, Finite state machine, core, strongly connected components
51William Leal, Anish Arora Scalable Self-Stabilization via Composition. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Carl Pixley, Seh-Woong Jeong, Gary D. Hachtel Exact calculation of synchronizing sequences based on binary decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
46In-Ho Moon, Per Bjesse, Carl Pixley A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Subrangshu Das, Subash Chandar G., Ashutosh Tiwari Reset Careabouts in a SoC Design. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Hsing-Chung Liang, Chung-Len Lee An Effective Methodology for Mixed Scan and Reset Design Based on Test Generation and Structure of Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Huy Nguyen 0001, Abhijit Chatterjee, Rabindra K. Roy Impact of Partial Reset on Fault Independent Testing and BIST. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44Vigyan Singhal, Carl Pixley, Adnan Aziz, Shaz Qadeer, Robert K. Brayton Sequential optimization in the absence of global reset. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sequential logic synthesis, no-reset latches, safe replaceability
44Yuan Lu, Irith Pomeranz Synchronization of large sequential circuits by partial reset. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF large synchronous sequential circuits, synchronization, sequential circuits, synchronisation, feedback loops, synchronizing sequence, partial reset
41Vipul Goyal, Amit Sahai Resettably Secure Computation. Search on Bibsonomy EUROCRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
41Kazuki Nakada, Keiji Miura, Hatsuo Hayashi Theoretical Analysis of Synchronization Phenomena in Two Pulse-Coupled Resonate-and-Fire Neurons. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Abdel Ejnioui, Abdelhalim Alsharqawi Self-resetting stage logic pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless, self-resetting, pipeline, asynchronous
41Yukiyoshi Kameyama, Masahito Hasegawa A sound and complete axiomatization of delimited continuations. Search on Bibsonomy ICFP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF continuation, axiomatization, CPS-translation
41Chin-Tser Huang, Mohamed G. Gouda, E. N. Elnozahy Convergence of IPsec in Presence of Resets. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Peter A. Tass Desynchronization of brain rhythms with soft phase-resetting techniques. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Chris Barker Wild control operators. Search on Bibsonomy POPL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fcontrol, same, natural language, continuations, focus, shift, control operators, reset
39Stephan Roch, Karsten Schmidt 0004 On the Step Explosion Problem. Search on Bibsonomy ICATPN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF step semantics, inhibitory, signal arcs, priorities, read, partial order reduction, State space exploration, reset
39Jeff Siebert, Jamie Collier, Rajeevan Amirtharajah Self-timed circuits for energy harvesting AC power supplies. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AC power supplies, power-on-reset, energy harvesting, self-timed circuits, dynamic memory
39Marie-Lise Flottes, Christian Landrault, A. Petitqueux A Unified DFT Approach for BIST and External Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BIST, DFT, test point insertion, partial reset
39Po-Chi Hu, Leonard Kleinrock A queueing model for wormhole routing with timeout. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF timeout reset mechanism, M/G/1 queueing system, approximations, feedback, analytical model, wormhole routing, telecommunication network routing, queueing model, performance characteristics, impatient customers
37Mohamed Soufi, Yvon Savaria, F. Darlay, Bozena Kaminska Producing Reliable Initialization and Test of Sequential Circuits with Pseudorandom Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF full reset, initialization of sequential circuits, modelization of sequential circuits, Markov chain processes, Built-in self-testing, pseudorandom testing, testability measures, partial reset
36Masao Nakanishi, Taishin Nomura, Shunsuke Sato Stumbling with optimal phase reset during gait can prevent a humanoid from falling. Search on Bibsonomy Biol. Cybern. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins A novel low-voltage finite-gain compensation technique for high-speed reset- and switched-opamp circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins A novel very low-voltage SC-CMFB technique for fully-differential reset-opamp circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Kenichi Asai Offline partial evaluation for shift and reset. Search on Bibsonomy PEPM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CPS transformation, binding-time analysis (BTA), offline partial evaluation, continuation-passing style (CPS), logical relations, delimited continuations
36Dongkyun Kim, Hanseok Bae Trial-Number Reset Mechanism at MAC Layer for Mobile Ad Hoc Networks. Search on Bibsonomy ECUMN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Peter A. Tass A model of desynchronizing deep brain stimulation with a demand-controlled coordinated reset of neural subpopulations. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Kenichi Asai Online partial evaluation for shift and reset. Search on Bibsonomy PEPM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CPS transformation, direct style (DS), online partial evaluations, continuation-passing style (CPS), Delimited continuations
36Martin Gasbichler, Michael Sperber Final shift for call/cc: : direct implementation of shift and reset. Search on Bibsonomy ICFP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF implementation, scheme, continuations
36João Carlos Cunha, António Correia, Jorge Henriques, Mário Zenha Rela, João Gabriel Silva Reset-Driven Fault Tolerance. Search on Bibsonomy EDCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Alain Finkel, Grégoire Sutre An Algorithm Constructing the Semilinear Post* for 2-Dim Reset/Transfer VASS. Search on Bibsonomy MFCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Michael Leuschel, Helko Lehmann Coverability of Reset Petri Nets and Other Well-Structured Transition Systems by Partial Deduction. Search on Bibsonomy Computational Logic The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Verification of Delayed-Reset Domino Circuits Using ATACS. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Catherine Dufourd, Alain Finkel, Philippe Schnoebelen Reset Nets Between Decidability and Undecidability. Search on Bibsonomy ICALP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Irith Pomeranz, Sudhakar M. Reddy On the use of reset to increase the testability of interconnected finite-state machines. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Baruch Awerbuch, Boaz Patt-Shamir, George Varghese, Shlomi Dolev Self-Stabilization by Local Checking and Global Reset (Extended Abstract). Search on Bibsonomy WDAG The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Erik Zenner Nonce Generators and the Nonce Reset Problem. Search on Bibsonomy ISC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nonce Reset, Nonce Generator, Cryptography, Security Engineering, Nonce
34Irith Pomeranz, Sudhakar M. Reddy On the Role of Hardware Reset in Synchronous Sequential Circuit Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hardware reset, synchronous sequential circuit test generation, state variables, test generation procedure, logic testing, sequential circuits, test sequence
31Andrei A. Antonov, Maksim S. Karpovich, Vladislav Yu. Vasilyev Power-On Reset Circuit in 180-nm CMOS With Brownout Detection, Stable Switching Points, Long Reset Pulse Duration, and Resilience to Switching Noise. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
31Tommaso Innocenti, Seyed Ali Mirheidari, Amin Kharraz, Bruno Crispo, Engin Kirda You've Got (a Reset) Mail: A Security Analysis of Email-Based Password Reset Procedures. Search on Bibsonomy DIMVA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
31Farzaneh Zokaee, Lei Jiang 0001 Mitigating Voltage Drop in Resistive Memories by Dynamic RESET Voltage Regulation and Partition RESET. Search on Bibsonomy HPCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
31Jun Ho Huh, Hyoungshick Kim, Swathi S. V. P. Rayala, Rakesh B. Bobba, Konstantin Beznosov I'm too Busy to Reset my LinkedIn Password: On the Effectiveness of Password Reset Emails. Search on Bibsonomy CHI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Xiaoqian Li, Moshe Zukerman, Huachun Zhou, Hongbin Luo, Feng Qiu, Hongke Zhang Time to live of identifier-to-locator mappings: with-reset or no-reset. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Antonio Barreiro, Alfonso Baños, Sebastián Dormido 0001, José Antonio González-Prieto Reset control systems with reset band: Well-posedness, limit cycles and stability analysis. Search on Bibsonomy Syst. Control. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Pedro Mercader, Joaquín Carrasco, Alfonso Baños IQC analysis for time-delay reset control systems with first order reset elements. Search on Bibsonomy CDC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Irith Pomeranz, Sudhakar M. Reddy Reset and partial-reset-based functional broadside tests. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31José Antonio Prieto, Antonio Barreiro, Sebastián Dormido 0001, Sophie Tarbouriech Delay-Dependent Stability of Reset Control Systems with Anticipative Reset Conditions. Search on Bibsonomy ROCOND The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31Alfonso Baños, Joaquín Carrasco, Antonio Barreiro Reset Times-Dependent Stability of Reset Control Systems. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Fulvio Forni, Dragan Nesic, Luca Zaccarian Reset passivation of nonlinear controllers via a suitable time-regular reset map. Search on Bibsonomy Autom. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Massimo Costabile, Ivar Massabò, Emilio Russo On pricing arithmetic average reset options with multiple reset dates in a lattice framework. Search on Bibsonomy J. Comput. Appl. Math. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Huy-Binh Le, Xuan-Dien Do, Sang-Gug Lee 0001, Seung-Tak Ryu A Long Reset-Time Power-On Reset Circuit With Brown-Out Detection Capability. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Angel Vidal Sanchez, Alfonso Baños Stablity of reset control systems with variable reset: Application to PI+CI compensation. Search on Bibsonomy ECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Angel Vidal Sanchez, Alfonso Baños Stability of reset control systems with variable reset: Application to PI+CI compensation. Search on Bibsonomy ECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Yuqian Guo, Youyi Wang, Lihua Xie, Hui Li, Weihua Gui 0001 Optimal reset law design of reset control systems with application to HDD systems. Search on Bibsonomy CDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Alfonso Baños, Sebastián Dormido 0001, Antonio Barreiro Stability Analysis of reset control systems with reset band. Search on Bibsonomy ADHS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Axel Schild, Magnus Egerstedt, Jan Lunze Orbital Control for a Class of Planar Impulsive Hybrid Systems with Controllable Resets. Search on Bibsonomy HSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Daher Kaiss, Marcelo Skaba, Ziyad Hanna, Zurab Khasidashvili Industrial Strength SAT-based Alignability Algorithm for Hardware Equivalence Verification. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Xuandong Li, Jianhua Zhao, Tao Zheng, Yong Li 0005, Guoliang Zheng Duration-constrained regular expressions. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Regular expressions, Hybrid automata, Duration Calculus
30Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline-Level Control of Self-Resetting Pipelines. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Hubert Garavel, Wendelin Serwe State Space Reduction for Process Algebra Specifications. Search on Bibsonomy AMAST The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Ranko Lazic 0001, Thomas Christopher Newcomb, A. W. Roscoe 0001 On Model Checking Data-Independent Systems with Arrays with Whole-Array Operations. Search on Bibsonomy 25 Years Communicating Sequential Processes The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Amine Bermak, Farid Boussaïd, Abdesselam Bouzerdoum A New read-out circuit for low power current and voltage mediated integrating CMOS imager. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Chi-Yi Lin, Sy-Yen Kuo, Yennun Huang A Checkpointing Tool for Palm Operating System. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Alain Finkel, Grégoire Sutre Decidability of Reachability Problems for Classes of Two Counters Automata. Search on Bibsonomy STACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Ben Mathew, Daniel G. Saab Combining multiple DFT schemes with test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Klaus Eckl, Christian Legl Retiming Sequential Circuits with Multiple Register Classes. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Markus Jakobsson, Liu Yang, Susanne Wetzel Quantifying the security of preference-based authentication. Search on Bibsonomy Digital Identity Management The full citation details ... 2008 DBLP  DOI  BibTeX  RDF password reset, preference-based authentication, security question, simulation
29Carlo Blundo, Giuseppe Persiano, Ahmad-Reza Sadeghi, Ivan Visconti Improved Security Notions and Protocols for Non-transferable Identification. Search on Bibsonomy ESORICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Non-transferability, reset attacks, e-passports
29Wil M. P. van der Aalst Discovery, Verification and Conformance of Workflows with Cancellation. Search on Bibsonomy ICGT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reset Nets, Verification, Petri Nets, Soundness, Process Mining
29Rajeevan Amirtharajah, Justin Wenck, Jamie Collier, Jeff Siebert, Bicky Zhou Circuits for energy harvesting sensor signal processing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AC power supplies, power-on-reset, energy harvesting, self-timed circuits, dynamic memory
29Shinya Aoi, Kazuo Tsuchiya Locomotion Control of a Biped Robot Using Nonlinear Oscillators. Search on Bibsonomy Auton. Robots The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonlinear oscillators, phase reset, touch sensor signal, biped robot, limit cycle, locomotion control
29Beichuan Zhang 0001, Vamsi Kambhampati, Mohit Lad, Daniel Massey, Lixia Zhang 0001 Identifying BGP routing table transfers. Search on Bibsonomy MineNet The full citation details ... 2005 DBLP  DOI  BibTeX  RDF collection time, routing table transfer, session reset, BGP
29Lélia Blin, Alain Cournier, Vincent Villain An Improved Snap-Stabilizing PIF Algorithm. Search on Bibsonomy Self-Stabilizing Systems The full citation details ... 2003 DBLP  DOI  BibTeX  RDF propagation of information with feedback, reset protocols, wave algorithms, Fault-tolerance, self-stabilization, snap-stabilization
29Alain Cournier, Ajoy Kumar Datta, Franck Petit, Vincent Villain Enabling Snap-Stabilizatio. Search on Bibsonomy ICDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF propagation of information with feedback, reset protocols, Fault-tolerance, self-stabilization, leader election, snapshot, snap-stabilization
29Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
29Susumu Fujiwara, Gregor von Bochmann, Ferhat Khendek, Mokhtar Amalou, Abderrazak Ghedamsi Test Selection Based on Finite State Models. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF partial W-method, full fault-detection power, interaction parameters, reset function, software engineering, protocols, program testing, conformance testing, conformance testing, determinism, test suites, protocol implementations, protocol testing, test architectures, finite state models
29Yves Bekkers, Lucien Ungaro Real-Time Memory Management for Prolog. Search on Bibsonomy RCLP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF early reset, variable shunting, attributed variable, virtual backtracking, Prolog, implementation, abstract machine, realtime, garbage collector
25Thomas Loquen, Sophie Tarbouriech, Christophe Prieur 0001 Stability of reset control systems with nonzero reference. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Ichiro Maruta, Toshiharu Sugie Reset-free iterative identification based on the finite-dimensional signal subspace. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Kaijian Shi Area and power-delay efficient state retention pulse-triggered flip-flops with scan and reset capabilities. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Toru Tanzawa A process- and temperature-tolerant power-on reset circuit with a flexible detection level higher than the bandgap voltage. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1123 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license