The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase single-fault (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1990 (20) 1991-1993 (18) 1994-1996 (18) 1997-1999 (16) 2000-2002 (19) 2003 (17) 2004-2005 (20) 2006-2007 (31) 2008-2009 (16) 2010-2016 (16) 2017-2024 (14)
Publication types (Num. hits)
article(90) inproceedings(115)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 244 occurrences of 166 keywords

Results
Found 205 publication records. Showing 205 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
50Irith Pomeranz, Sudhakar M. Reddy Unspecified Transition Faults: A Transition Fault Model for At-Speed Fault Simulation and Test Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Irith Pomeranz, Sudhakar M. Reddy Equivalence and Dominance Relations Between Fault Pairs and Their Use in Fault Pair Collapsing for Fault Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Nageswara S. V. Rao On Parallel Algorithms for Single-Fault Diagnosis in Fault Propagation Graph Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault propagation graph, operative diagnosis, CREW PRAM and hypercube, Fault diagnosis, single fault
32Jan Torben Weinkopf, Klaus Harbich, Erich Barke Parsifal: A Generic and Configurable Fault Emulation Environment with Non-Classical Fault Models. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Shahrzad Mirkhani, Zainalabedin Navabi Enhancing Fault Simulation Performance by Dynamic Fault Clustering. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Roberto Rojas-Cessa, Eiji Oki, H. Jonathan Chao Concurrent fault detection for a multiple-plane packet switch. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel planes, fault detection, packet switch, concurrent testing, single fault
29Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Sukumar Ghosh, Arobinda Gupta, Ted Herman, Sriram V. Pemmaraju Fault-containing self-stabilizing distributed protocols. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Distributed algorithms, Transformer, Self-stabilization, Fault-containment
28Tetz C. Huang An efficient fault-containing self-stabilizing algorithm for the shortest path problem. Search on Bibsonomy Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Single-fault situation, Stabilization time, Shortest path problem, Fault-containment, Self-stabilizing algorithm
28Zoltán Pap, Gyula Csopaki, Sarolta Dibuz On FSM-Based Fault Diagnosis. Search on Bibsonomy TestCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF output fault, transfer fault, fault diagnosis, Finite state machine, fault localization
27Mourad Elhadef, Béchir el Ayeb, Nageswara S. V. Rao Operative Diagnosis Algorithms for Single-Fault in Graph-Based Systems. Search on Bibsonomy IEA/AIE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Zhide Zeng, Jihua Chen, Hefeng Cao Research and Implementation of a High Speed Test Generation for Ultra Large Scale Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF finite backtracking test pattern generation, n to 1 tightly coupled integration mode, parallel-pattern, single-fault propagation, ultra large scale combinational circuit (ULSCC
26Anders Lyhne Christensen, Rehan O'Grady, Mauro Birattari, Marco Dorigo Fault detection in autonomous robots based on fault injection and learning. Search on Bibsonomy Auton. Robots The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Model-free, Learning, Mobile robots, Fault detection, Fault injection
26Klaus Echtle, Irene Eusgeld A Genetic Algorithm for Fault-Tolerant System Design. Search on Bibsonomy LADC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analysis of fault-tolerant behaviour, genetic algorithm, Fault tolerance, fault model, fitness function
26Hyung Ki Lee, Dong Sam Ha HOPE: an efficient parallel fault simulator for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Yunzhan Gong, Wanli Xu, Xiaowei Li 0001 An Expression's Single Fault Model and the Testing Methods. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Wei-Kang Huang, Fabrizio Lombardi An approach for testing programmable/configurable field programmable gate arrays. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF behavioral characterization, single fault detection, disjoint one-dimensional arrays, unilateral horizontal connections, common vertical input lines, array testing, logic blocks, field programmable gate arrays, field programmable gate arrays, VLSI, logic testing, integrated circuit testing, stuck-at fault, FPGA testing, functional fault, hybrid fault model
24Byung S. So, Charles R. Kime A fault simulation method: Parallel pattern critical path tracing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel pattern simulation, single fault propagation, fault simulation, Critical path tracing
24Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen Single-fault fault-collapsing analysis in sequential logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sudhakar M. Reddy Generation of Broadside Transition-Fault Test Sets That Detect Four-Way Bridging Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Man Fai Lau, Ying Liu, Tsong Yueh Chen, Yuen-Tak Yu On Detecting Double Literal Faults in Boolean Expressions. Search on Bibsonomy Ada-Europe The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test case generation, Black-box testing, Fault-based testing, Boolean specification
23Irith Pomeranz, Sudhakar M. Reddy Generation of broadside transition fault test sets that detect four-way bridging faults. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Michiko Inoue, Emil Gizdarski, Hideo Fujiwara A class of sequential circuits with combinational test generation complexity under single-fault assumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF combinational test generation complexity, internally balanced structures, combinational test generation, separable primary inputs, undetectability, fault diagnosis, logic testing, sequential circuits, sequential circuits, automatic test pattern generation, combinational circuits, test sequence, single stuck-at-faults, multiple stuck-at faults, single-fault
23Xijiang Lin, Wu-Tung Cheng, Irith Pomeranz, Sudhakar M. Reddy SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test Segment, Parallel Pattern Simulator, Vector Restoration, Single Fault Restoration, Fault Coverage, Synchronous Sequential Circuits, Test Length, Static Test Compaction
23Arun K. Somani, Vinod K. Agarwal, David Avis On the Complexity of Single Fault Set Diagnosability and Diagnosis Problems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF single fault set diagnosability, diagnosis problems, symmetric invalidation models, polynomial time-complexity algorithm, t-diagnosability, computational complexity, complexity, fault tolerant computing
23Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu A New Method for Diagnosing Multiple Stuck-at Faults using Multiple and Single Fault Simulations. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Nobuhiro Yanagida Multiple Fault Diagnosis in Logic Circuits Using EB Tester and Multiple/Single Fault Simulators. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF single/multiple fault simulators, EB tester, fault diagnosis, combinational circuit, multiple stuck-at fault
22Vishwani D. Agrawal, Srimat T. Chakradhar Combinational ATPG theorems for identifying untestable faults in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Man Fai Lau, Ying Liu, Yuen-Tak Yu Detecting Double Faults on Term and Literal in Boolean Expressions. Search on Bibsonomy QSIC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Wu-Tung Cheng, Meng-Lin Yu Differential Fault Simulation - a Fast Method Using Minimal Memory. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Manan Syal, Michael S. Hsiao New techniques for untestable fault identification in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Multiple-Fault Diagnosis Based On Adaptive Diagnostic Test Pattern Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hin-Sing Siu, Yeh-Hao Chin, Wei-Pang Yang Byzantine Agreement in the Presence of Mixed Faults on Processors and Links. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF synchronization, Byzantine agreement, fault-tolerant distributed system, hybrid fault model, general network
19Anurag Dasgupta, Sukumar Ghosh, Xin Xiao Probabilistic Fault-Containment. Search on Bibsonomy SSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, testing, fault diagnosis, fault model
19Cheng Gong, Zheng Zheng 0001, Yunqian Zhang, Zhenyu Zhang 0004, Yunzhi Xue Factorising the Multiple Fault Localization Problem: Adapting Single-Fault Localizer to Multi-fault Programs. Search on Bibsonomy APSEC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yung-Chieh Lin, Kwang-Ting Cheng Multiple-fault diagnosis based on single-fault activation and single-output observation. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yigang He, Wenji Zhu Fault Diagnosis of Nonlinear Analog Circuits Using Neural Networks and Multi-Space Transformations. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Bilinear Transformation, Space Transformation, Neural Network, Fault Diagnosis, Analog Circuits
18Jiang Brandon Liu, Andreas G. Veneris Incremental fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Koji Hashimoto, Tatsuhiro Tsuchiya, Tohru Kikuno Fault-Secure Scheduling of Arbitrary Task Graphs to Multiprocessor Systems. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-secure scheduling, tests, multiprocessors, task graphs, fault security
18Marcello Dalpasso, Michele Favalli, Piero Olivo, Bruno Riccò Fault simulation of parametric bridging faults in CMOS IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Thomas M. Niermann, Wu-Tung Cheng, Janak H. Patel PROOFS: a fast, memory-efficient sequential circuit fault simulator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Gary D. Hachtel, Reily M. Jacoby, Kurt Keutzer, Christopher R. Morrison On properties of algebraic transformations and the synthesis of multifault-irredundant circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Ji-Cherng Lin, Tetz C. Huang An Efficient Fault-Containing Self-Stabilizing Algorithm for Finding a Maximal Independent Set. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Central demon, single transient fault, restrictions on guard conditions, primary variables, auxiliary secondary variables, stabilization time, contamination number, maximal independent set, fault-containment
15Anurag Dasgupta, Sukumar Ghosh, Xin Xiao Fault-Containment in Weakly-Stabilizing Systems. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Honghai Feng, Baoyan Liu, Liyun He, Bingru Yang, Yueli Li, Zhao Shuo Using Rough Set to Induce More Abstract Rules from Rule Base. Search on Bibsonomy KES (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Michael A. Kochte, Marcel Schaal, Hans-Joachim Wunderlich, Christian G. Zoellin Efficient fault simulation on many-core processors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PPSFP, parallel fault simulation, many-core processors
15Hung-Chuan Lai, Shi-Jinn Horng, Yong-Yuan Chen, Pingzhi Fan, Yi Pan 0001 A New Concurrent Detection of Control Flow Errors Based on DCT Technique. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dhiraj K. Pradhan, Chunsheng Liu EBIST: a novel test generator with built-in fault detection capability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Multiple Fault Diagnosis Using n-Detection Tests. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kumar N. Dwarakanath, R. D. (Shawn) Blanton Exploiting Dominance and Equivalence using Fault Tuples. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo Static test compaction for synchronous sequential circuits based on vector restoration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Wolfgang Meyer 0002, Raul Camposano Active timing multilevel fault-simulation with switch-level accuracy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Peter C. Maxwell, Robert C. Aitken IDDQ testing as a component of a test suite: The need for several fault coverage metrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF quality, fault coverage, scan, functional testing, Current testing, physical defects
15Robert C. Aitken Diagnosis of leakage faults with IDDQ. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF leakage fault model, Fault diagnosis, I DDQ testing
15Wu-Tung Cheng, Janak H. Patel PROOFS: a super fast fault simulator for sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Thomas M. Niermann, Wu-Tung Cheng, Janak H. Patel Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Dan Alexandrescu, Lorena Anghel, Michael Nicolaidis Simulating Single Event Transients in VDSM ICs for Ground Level Radiation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF single fault propagation, fault simulation, soft-errors, single event upsets
14Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang, Cheng-Liang Tsai Combination Of Automatic Test Pattern Generation And Built-In Intermediate Voltage Sensing For Detecting CMOS Bridging Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CMOS bridging faults detection, ATPG system, built-in intermediate voltage sensing, BIFEST system, PODEM-like process, PPSFP-based process, logic monitoring, gate threshold ranges, Byzantine General's Command Problem, feedback bridging faults, parallel pattern single fault propagation, fault modelling, fault simulation, fault coverage, greedy algorithm, CMOS logic circuits
14Jianli Sun, Eduard Cerny, Jan Gecsei Fault Tolerance in a Class of Sorting Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF comparators (circuits), single-fault tolerance, 1-fault tolerant design, balanced sorting network, 2-fault tolerant design, fault tolerance, reliability, parallel processing, fault tolerant computing, fault tolerant computing, sorting, comparators, sorting networks, multistage network
14Tse-Yun Feng, Chuan-lin Wu Fault-Diagnosis for a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Baseline network, fault detection and location, parallel processing, fault model, multistage interconnection networks, multiple faults, single fault
14Vinod K. Agarwal Multiple Fault Detection in Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF single fault coverage, Contact faults, PLA fault detection, PLA modeling, programmable logic arrays, masking, multiple fault detection
14Kolar L. Kodandapani, Sharad C. Seth On Combinational Networks with Restricted Fan-Out. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF single fault location, Characterization of fan-out-free networks, counting of fan-out-free, fan-out-free networks, localized fan outs, functional decomposition, combinational networks, multiple fault detection
14Dennis A. Reynolds, Gernot Metze Fault Detection Capabilities of Alternating Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Alternating logic, alternating systems, on-line detection, synchronous machine, stuck-at-faults, combinational network, time redundancy, single fault, totally self-checking
14Aikata, Banashri Karmakar, Dhiman Saha DESIV: Differential Fault Analysis of SIV-Rijndael256 with a Single Fault. Search on Bibsonomy HOST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14David Landsberg, Youcheng Sun, Daniel Kroening Optimising Spectrum Based Fault Localisation for Single Fault Programs Using Specifications. Search on Bibsonomy FASE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Alexandre Perez, Rui Abreu 0001, Marcelo d'Amorim Prevalence of Single-Fault Fixes and Its Impact on Fault Localization. Search on Bibsonomy ICST The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
14Xin Xia 0001, Liang Gong, Tien-Duy B. Le, David Lo 0001, Lingxiao Jiang, Hongyu Zhang 0002 Diversity maximization speedup for localizing faults in single-fault and multi-fault programs. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Raimund Ubar, Sergei Kostin, Jaan Raik Synthesis of multiple fault oriented test groups from single fault test sets. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Xin-jie Zhao 0001, Shize Guo, Fan Zhang 0010, Tao Wang 0008, Zhijie Shi, Keke Ji Algebraic Differential Fault Attacks on LED using a Single Fault Injection. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
14Yoseop Lim, Jaeseok Park, Sungho Kang An accurate diagnosis of transition fault clusters based on single fault simulation. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Ruilin Li, Bing Sun 0001, Chao Li 0002, Jianxiong You Differential Fault Analysis on SMS4 using a single fault. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Michael Tunstall, Debdeep Mukhopadhyay, Subidh Ali Differential Fault Analysis of the Advanced Encryption Standard Using a Single Fault. Search on Bibsonomy WISTP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Subidh Ali, Debdeep Mukhopadhyay A Differential Fault Analysis on AES Key Schedule Using Single Fault. Search on Bibsonomy FDTC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Ruilin Li, Bing Sun 0001, Chao Li 0002, Jianxiong You Differential Fault Analysis on SMS4 Using a Single Fault. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2010 DBLP  BibTeX  RDF
14Subidh Ali, Debdeep Mukhopadhyay Acceleration of Differential Fault Analysis of the Advanced Encryption Standard Using Single Fault. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2010 DBLP  BibTeX  RDF
14Michael Tunstall, Debdeep Mukhopadhyay Differential Fault Analysis of the Advanced Encryption Standard using a Single Fault. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2009 DBLP  BibTeX  RDF
14K. Lai, Parag K. Lala Multiple Fault Detection in Fan-Out Free Circuits Using Minimal Single Fault Test Set. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fan-out-free circuit, output count, test generation, Multiple faults
14Jens Güthoff, Volkmar Sieh Combining Software-Implemented and Simulation-Based Fault Injection into a Single Fault Injection Method. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Wen-Ben Jone, Patrick H. Madden Multiple fault testing using minimal single fault test set for fanout-free circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Tah-Yuan Kuo, Jhing-Fa Wang, Jau-Yien Lee Enhancing the multiple-fault detection of single-fault test sets. Search on Bibsonomy Comput. Aided Des. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Hyung Ki Lee, Dong Sam Ha An Efficient, Forward Fault Simulation Algorithm Based on the Parallel Pattern Single Fault Propagation. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Ken Kubiak, W. Kent Fuchs Multiple-Fault Simulation and Coverage of Deterministic Single-Fault Test Sets. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen Single-fault fault collapsing analysis in sequential logic circuits. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Joseph L. A. Hughes Multiple fault detection using single fault test sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14John A. Waicukauski, Eric Lindbloom, Vijay S. Iyengar, Barry K. Rosen Transition Fault Simulation by Parallel Pattern Single Fault Propagation. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
14Vinod K. Agarwal, Andy S. F. Fung Multiple Fault Testing of Large Circuits by Single Fault Test Sets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
14Ingebjørg Theiss, Olav Lysne FRoots: A Fault Tolerant and Topology-Flexible Routing Technique. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF path redundancy, interconnection networks, Fault-tolerant routing, deadlock freedom
14Kalpesh Kapoor Formal Analysis of Coupling Hypothesis for Logical Faults. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Logical fault, Software testing, Mutation testing, Fault-based testing, Boolean specification
14Jason Waddle, David A. Wagner 0001 Fault Attacks on Dual-Rail Encoded Systems. Search on Bibsonomy ACSAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Sabine M. Böhm, Klaus Echtle State Space Reduction in SDL Models of Fault-Tolerant Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jayabrata Ghosh-Dastidar, Nur A. Touba A Systematic Approach for Diagnosing Multiple Delay Faults. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams On the decline of testing efficiency as fault coverage approaches 100%. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single stuck-at fault model, ISCAS benchmark circuits, nontarget defects, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, manufacturing process, test quality, production testing, testing efficiency, circuit sizes
14Antoine Nonclercq, Laurent Lonys, Anne Vanhoestenberghe, Andreas Demosthenous, Nick Donaldson Safety of multi-channel stimulation implants: a single blocking capacitor per channel is not sufficient after single-fault failure. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
12Jan Schat Calculating the fault coverage for dual neighboring faults using single stuck-at fault patterns. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Iwan Tabakow Using Place and Transition Fault Net Models for Sequential Diagnosis Time Assessment in Discrete Event Systems. Search on Bibsonomy IEA/AIE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Analysis and methodology for multiple-fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hayssam El-Razouk, Zine Abid A New Transistor-Redundant Voter for Defect-Tolerant Digital Circuits. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sining Liu, Brian King, Wei Wang 0003 A CRT-RSA Algorithm Secure against Hardware Fault Attacks. Search on Bibsonomy DASC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF algorithm, smart card, RSA, Chinese Remainder Theorem
12Vishwani D. Agrawal, Alok S. Doshi Concurrent Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 205 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license