The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for standby with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1991 (15) 1994-1999 (23) 2000-2001 (20) 2002 (33) 2003 (25) 2004 (36) 2005 (49) 2006 (63) 2007 (68) 2008 (43) 2009 (34) 2010 (23) 2011 (25) 2012 (29) 2013 (35) 2014 (29) 2015 (47) 2016 (32) 2017 (40) 2018 (30) 2019 (35) 2020 (33) 2021 (33) 2022 (25) 2023 (24) 2024 (9)
Publication types (Num. hits)
article(415) incollection(1) inproceedings(441) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 270 occurrences of 183 keywords

Results
Found 858 publication records. Showing 858 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
198Ing-Ray Chen, Farokh B. Bastani Warm Standby in Hierarchically Structured Process-Control Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchically structured process-control programs, standby redundancy design space, process-control programs, cold standby, warm standby, hot standby, long-lived unmaintainable systems, burst hardware failures, simulated chemical batch reactor system, fault tolerant computing, software maintenance, software reliability, redundancy, system reliability, computer integrated manufacturing, process computer control
75Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
70Paul R. van der Meer, Arie van Staveren Effectivity of standby-energy reduction techniques for deep sub-micron CMOS. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
70Huang-Chen Lee, Chuan-Yu Cho, Yao-Min Fang, Bing-Jean Lee, Chung-Ta King Exploiting the tradeoff between fast wakeup and long standby in event-monitoring WSN. Search on Bibsonomy SenSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF standby time, wireless sensor networks, low power, response time, duty cycle
64Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Sumangali K. Srinivasan, Ramchandran Subramanian Reliability analysis of a three unit warm standby redundant system with repair. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Zhanping Chen, Mark Johnson, Liqiong Wei, Kaushik Roy 0001 Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
59Animesh Kumar, Huifang Qin, Prakash Ishwar, Jan M. Rabaey, Kannan Ramchandran Fundamental Data Retention Limits in SRAM Standby Experimental Results. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF standby, data retention, low power, SRAM, error control code
59Shoun Matsunaga, Takahiro Hanyu, Hiromitsu Kimura, Takashi Nakamura, Hidemi Takasu Implementation of a Standby-Power-Free CAM Based on Complementary Ferroelectric-Capacitor Logic. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, standby-power-free CAM, complementary ferroelectric-capacitor logic, CFC logic circuit, nonvolatile storage, ferroelectric CMOS, content-addressable memory
59Geoffrey C.-F. Yeap Leakage current in low standby power and high performance devices: trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gate tunneling leakage, low standby power, off-state sub-threshold leakage, system-on-a-ship (SoC), high performance, CMOS technology, leakage current
54Shih-Hsu Huang, Chun-Hua Cheng Timing driven power gating in high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53David T. Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards Emerging power management tools for processor design. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CAD, standby leakage, power distribution
49Huifang Qin, Animesh Kumar, Kannan Ramchandran, Jan M. Rabaey, Prakash Ishwar Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DRV, low power, ECC, leakage, SRAM, variation, low voltage, error tolerant
49Bhupender Parashar, Gulshan Taneja Reliability and Profit Evaluation of a PLC Hot Standby System Based on a Master-Slave Concept and Two Types of Repair Facilities. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Joep L. W. Kessels, Paul Marston Designing Asynchronous Standby Circuits for a Low-Power Pager. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pager, loadable counter, synchronous/asynchronous, low-power, co-design
48Kimiyoshi Usami, Naoyuki Kawabe, Masayuki Koizumi, Katsuhiro Seta, Toshiyuki Furusawa Automated selective multi-threshold design for ultra-low standby applications. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby leakage current, automated design, multi-threshold
43Jun Wang 0001, Xiaoyu Yao, Huijun Zhu Exploiting In-Memory and On-Disk Redundancy to Conserve Energy in Storage Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF System architectures, Energy-aware systems, Secondary storage, integration and modeling, Storage hierarchies
43Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Dongwoo Lee, David T. Blaauw, Dennis Sylvester Static leakage reduction through simultaneous Vt/Tox and state assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Gi-sung Lee Duplex Method for Mobile Communication Systems. Search on Bibsonomy MSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Kaviraj Chopra, Sarma B. K. Vrudhula Implicit pseudo boolean enumeration algorithms for input vector control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, CMOS, SAT, binary decision diagrams, leakage, symbolic methods
43Liqiong Wei, Zhanping Chen, Mark Johnson, Kaushik Roy 0001, Vivek De Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
43Tadahiro Kuroda, Takayasu Sakurai Threshold-Volgage control schemes through substrate-bias for low-power high-speed CMOS LSI design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Baozhen Yu, Michael L. Bushnell A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power cutoff, standby current, stacking, leakage current, dynamic power
43Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
42Seiji Miura, Kazushige Ayukawa, Takao Watanabe A dynamic-SDRAM-mode-control scheme for low-power systems with a 32-bit RISC CPU. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SDRAM controller, active-standby mode, standby mode
38S. Lakshminarayanan, J. Joung, Giri Narasimhan, Ravi Kapre, M. Slanina, J. Tung, Morgan Whately, C.-L. Hou, W.-J. Liao, S.-C. Lin, P.-G. Ma, C.-W. Fan, M.-C. Hsieh, F.-C. Liu, K.-L. Yeh, W.-C. Tseng, S. W. Lu Standby power reduction and SRAM cell optimization for 65nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Joon Heo, Jihyuk Heo, Choong Seon Hong, Seok Bong Kang, Sang Soo Jeon Standby Power Control Architecture in Context-Aware Home Networks. Search on Bibsonomy APNOMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Kyung Ki Kim, Yong-Bin Kim Optimal Body Biasing for Minimum Leakage Power in Standby Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Animesh Kumar, Huifang Qin, Prakash Ishwar, Jan M. Rabaey, Kannan Ramchandran Fundamental Bounds on Power Reduction during Data-Retention in Standby SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Takeshi Nakatsuru, Koji Murakami, Hiroshi Sakai Context-Aware Information Provision to the Mobile Phone Standby Screen. Search on Bibsonomy MDM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Antoni Wolski, Vilho Raatikka Performance Measurement and Tuning of Hot-Standby Databases. Search on Bibsonomy ISAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Khin Mi Mi Aung, Kiejin Park, Jong Sou Park A Model of ITS Using Cold Standby Cluster. Search on Bibsonomy ICADL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Concepts and Models, Intrusion Tolerant System, Security, Cluster System, Rejuvenation
38Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka, Sani R. Nassif Approaches to run-time and standby mode leakage reduction in global buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pulsed buses, leakage, repeaters, MTCMOS
38Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey SRAM Leakage Suppression by Minimizing Standby Supply Voltage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Qi Wang, Sarma B. K. Vrudhula Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Salvatore Distefano, Francesco Longo 0001, Marco Scarpa Availability Assessment of HA Standby Redundant Clusters. Search on Bibsonomy SRDS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Dynamic Reliability, Standby Redundancy, High Availability Clusters
38Barry R. Borgerson, Richard F. Freitas A Reliability Model for Gracefully Degrading and Standby-Sparing Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF gracefully degrading, resource exhaustion, solitary faults, space-domain multiple faults, standby sparing, time-domain multiple faults, Fault tolerance, reliability, PRIME
33Jingyuan Shen, Jiawen Hu, Zhi-Sheng Ye 0001 Optimal switching policy for warm standby systems subjected to standby failure mode. Search on Bibsonomy IISE Trans. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Amit Manocha, Gulshan Taneja, Sukhvir Singh, Rahul Rishi Modelling and analysis of two-unit hot standby database system with random inspection of standby unit. Search on Bibsonomy Int. J. Math. Oper. Res. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
33Yingchieh Ho, Chen Hsu Standby power reduction using dynamic standby control with voltage keeper. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Noriaki Maeda, Shigenobu Komatsu, Masao Morimoto, Koji Tanaka, Yasumasa Tsukamoto, Koji Nii, Yasuhisa Shimazaki A 0.41 µA Standby Leakage 32 kb Embedded SRAM with Low-Voltage Resume-Standby Utilizing All Digital Current Comparator in 28 nm HKMG CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
33Noriaki Maeda, Shigenobu Komatsu, Masao Morimoto, Yasuhisa Shimazaki A 0.41µA standby leakage 32Kb embedded SRAM with Low-Voltage resume-standby utilizing all digital current comparator in 28nm HKMG CMOS. Search on Bibsonomy VLSIC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33Salvatore Distefano The standby engineering: classification and quantification of standby in reliability. Search on Bibsonomy Int. J. Syst. Assur. Eng. Manag. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
33Kuo-Hsiung Wang, Wen-Li Dong, Jyh-Bin Ke Comparison of reliability and the availability between four systems with warm standby components and standby switching failures. Search on Bibsonomy Appl. Math. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Makoto Ishikawa, Tatsuya Kamei, Yuki Kondo, Masanao Yamaoka, Yasuhisa Shimazaki, Motokazu Ozawa, Saneaki Tamaki, Mikio Furuyama, Tadashi Hoshi, Fumio Arakawa, Osamu Nishii, Kenji Hirose, Shinichi Yoshioka, Toshihiro Hattori A 4500 MIPS/W, 86 µA Resume-Standby, 11 µA Ultra-Standby Application Processor for 3G Cellular Phones. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Shunji Osaki, Toshio Nakagawa On a Two-Unit Standby Redundant System with Standby Failure. Search on Bibsonomy Oper. Res. The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
32Anuj Pushkarna, Hamid Mahmoodi Reliability analysis of power gated SRAM under combined effects of NBTI and PBTI in nano-scale CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, aging, SRAM, power gating
32Shahram Ghandeharizadeh, Andrew Goodney, Chetan Sharma, Chris Bissell, Felipe Carino, Naveen Nannapaneni, Alex Wergeles, Aber Whitcomb Taming the storage dragon: the adventures of hoTMaN. Search on Bibsonomy SIGMOD Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data availability, storage area networks
32Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Ekow J. Otoo, Doron Rotem, Shih-Chiang Tsao Energy Smart Management of Scientific Data. Search on Bibsonomy SSDBM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scientific workload, Performance guaranttee, Power management, File allocation, Disk storage
32Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Daihan Wang Run-time power gating of on-chip routers using look-ahead routing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Tim Tuan, Arifur Rahman, Satyaki Das, Steven Trimberger, Sean Kao A 90-nm Low-Power FPGA for Battery-Powered Applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Afshin Nourivand, Chunyan Wang 0004, M. Omair Ahmad An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Subhomoy Chattopadhyay, Rakesh Patel Tutorial T3: Low Power Design Techniques for Nanometer Design Processes - 65nm and Smaller. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Tim Tuan, Sean Kao, Arifur Rahman, Satyaki Das, Steven Trimberger A 90nm low-power FPGA for battery-powered applications. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, programmable logic
32Markus Bajohr, Tiziana Margaria, Bernhard Steffen Service Based Enabling Service Availability in the MaTRICS: A Model-Driven Approach. Search on Bibsonomy ISoLA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Xiaoyu Yao, Jun Wang 0001 RIMAC: a novel redundancy-based hierarchical cache architecture for energy efficient, high performance storage systems. Search on Bibsonomy EuroSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power management, cache management, disk storage
32Kiyotaka Imai, Yasushi Yamagata, Sadaaki Masuoka, Naohiko Kimuzuka, Yuri Yasuda, Mitsuhiro Togo, Masahiro Ikeda, Yasutaka Nakashiba Device technology for body biasing scheme. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Sergey Romanovsky, Arun Achyuthan, Sreedhar Natarajan, Wing Leung Leakage Reduction techniques in a 0.13um SRAM Cell. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Leakage-Proof Domino Circuit Design for Deep Sub-100nm Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Benton H. Calhoun, Frank Honoré, Anantha P. Chandrakasan Design methodology for fine-grained leakage control in MTCMOS. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fine-grain sleep regions, sneak leakage, low power, design methodology, leakage, circuit design, sleep mode, MTCMOS
32Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David T. Blaauw Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Aveek Sarkar, Shen Lin, Kai Wang A methodology for analysis and verification of power gated circuits with correlated results. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RedHawk, standby leakage current, design, verification, analysis, power gate, MTCMOS
32Shunzo Yamashita, Takanori Shimura, Kiyoshi Aiki, Koji Ara, Yuji Ogata, Isamu Shimokawa, Takeshi Tanaka, Hiroyuki Kuriyama, Kazuyuki Shimada, Kazuo Yano A 15 - 15 mm, 1 µA, reliable sensor-net module: enabling application-specific nodes. Search on Bibsonomy IPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 15 - 15 mm, pulse sensing, standby current of 1 ?A, sensor networks, ZigBee, IEEE 802.15.4, sensor nodes, health care system
32Edmond J. Vanderperre, Stanislav S. Makhanov A Markov time related to a robot-safety device system. Search on Bibsonomy 4OR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF safety device, cold standby, recovery time, Weibull repair, Robot, availability
32Ravindra Jejurikar, Rajesh K. Gupta 0001 Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF critical speed, lowpower, procrastication, resource standby energy, real-time systems, DVS, EDF scheduling
32David Scott, Shaoping Tang, Song Zhao, Mahalingam Nandakumar Device Physics Impact on Low Leakage, High Speed DSP Design Techniques (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby, GIDL, leakage, tunneling, subthreshold, current
27Adam C. Cabe, Zhenyu Qi, Mircea R. Stan Stacking SRAM banks for ultra low power standby mode operation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stacked SRAM, low-power memory
27Xing Qiao, Zhaoxing Li, Dan Ma Exponential Asymptotic Stability of a Two-Unit Standby Redundant Electronic Equipment System under Human Failure. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Exponential asymptotic stability, Quasi-compact operator, c 0-semigroup, Electronic equipment system
27Alireza Ejlali, Bashir M. Al-Hashimi, Petru Eles A standby-sparing technique with low energy-overhead for fault-tolerant hard real-time systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, energy minimization, hard real-time systems
27Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Thomas Janik, Eric Liau, Harald Lorenz, Manfred Menke, Eckehard Plaettner, Joerg Schweden, Helmut Seitz, Esther Vega-Ordonez A 1.8V p(seudo)SRAM using standard 140nm DRAM technology with self adapting clocked standby operation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lawrence T. Clark, M. Morrow, W. Brown Reverse-body bias and supply collapse for low effective standby power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Lawrence T. Clark, Rakesh Patel, Timothy S. Beatty Managing standby and active mode leakage power in deep sub-micron design. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SRAM leakage control, TGSRAM, battery lifetime, drowsy mode, thick gate shadow latch, transistor leakage, MTCMOS
27Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz Leakage current reduction by new technique in standby mode. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF subthreshold current, low power, leakage current, digital integrated circuits, static power
27Mircea R. Stan Low threshold CMOS circuits with low standby current. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Faraz Ahmad, T. N. Vijaykumar Joint optimization of idle and cooling power in data centers while maintaining response time. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cooling power, idle power, power management, response time, data center
21Derek Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, Josep Torrellas Two hardware-based approaches for deterministic multiprocessor replay. Search on Bibsonomy Commun. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Feng Wang, Jie Qiu 0001, Jie Yang, Bo Dong 0001, Xin Hui Li, Ying Li 0012 Hadoop high availability through metadata replication. Search on Bibsonomy CloudDB@CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hadoop
21Ekow J. Otoo, Doron Rotem, Shih-Chiang Tsao Analysis of trade-off between power saving and response time in disk storage systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Lawrence Leinweber, Swarup Bhunia Fine-Grained Supply Gating Through Hypergraph Partitioning and Shannon Decomposition for Active Power Reduction. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tak H. Ning GLSVLSI 2008 invited/keynote talk. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF soi, cmos scaling
21Lin Huang 0002, Qiang Xu 0001 On Modeling the Lifetime Reliability of Homogeneous Manycore Systems. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Charbel J. Akl, Magdy A. Bayoumi Self-Sleep Buffer for Distributed MTCMOS Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Seungwhun Paik, Youngsoo Shin Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sleep vector, zigzag power gating, low power, leakage current, standard-cell
21Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy 0001, Muhammad Ashraful Alam Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Masayuki Murakami Fault tolerance design for computers used in humanoid robots. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Subhomoy Chattopadhyay Low power design techniques for nanometer design processes: 65 nm and smaller. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 65 nm, low power, embedded design
21Zhiyu Liu, Volkan Kursun Charge Recycling MTCMOS for Low Energy Active/Sleep Mode Transitions. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
21Tarun Sairam, Wei Zhao, Yu Cao 0001 Optimizing finfet technology for high-speed and low-power design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power, energy, variations, speed, threshold voltage, FinFET, noise margin
21Zhiyu Liu, Volkan Kursun Charge Recycling Between Virtual Power and Ground Lines for Low Energy MTCMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-threshold voltage CMOS, gated power, gated ground, sleep switch, subthreshold leakage, charge recycling
21Hong Luo, Yu Wang 0002, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Modeling of PMOS NBTI Effect Considering Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chia-Tai Tsai, Rong-Hong Jan, Chien Chen, Chia-Yuan Huang Implementation of Highly Available OSPF Router on ATCA. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lava P. Kumar, Baquer Mazhari Optimum Supply Voltages for Minimization of Leakage Currents in SRAM in Stand-by Mode. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Techniques for Leakage Energy Reduction in Deep Submicrometer Cache Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 858 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license