The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase switching-activity (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1995 (22) 1996-1997 (30) 1998 (24) 1999 (32) 2000 (21) 2001 (23) 2002 (51) 2003 (37) 2004 (38) 2005 (32) 2006 (42) 2007 (35) 2008 (40) 2009 (15) 2010-2011 (20) 2012-2014 (16) 2015-2019 (16) 2020-2023 (17)
Publication types (Num. hits)
article(140) inproceedings(371)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 366 occurrences of 221 keywords

Results
Found 511 publication records. Showing 511 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Jason Helge Anderson, Farid N. Najm Switching activity analysis and pre-layout activity prediction for FPGAs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, power, estimation
63Vamsi Krishna, Ramamurti Chandramouli, N. Ranganathan Computation of lower bounds for switching activity using decision theory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
61Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
60Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF At-speed scan testing, Capture switching activity, X-filling, Test cube, ATPG, Low power testing
60Santiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski Scan-Based Tests with Low Switching Activity. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scan shift, test response capture, supply current, power dissipation, switching activity, scan-based test
53Mohammad D. Mottaghi, Ali Afzali-Kusha, Zainalabedin Navabi ByZFAD: a low switching activity architecture for shift-and-add multipliers. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adder bypass, byZFAD, hot-block ring counter, shiftand-add multiplier, low-power, switching activity
51Seongmoon Wang Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Anand Raghunathan, Sujit Dey, Niraj K. Jha High-level macro-modeling and estimation techniques for switching activity and power consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Felipe Machado, Teresa Riesgo, Yago Torroja A Method for Switching Activity Analysis of VHDL-RTL Combinatorial Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Pinhong Chen, Yuji Kukimoto, Kurt Keutzer Refining switching window by time slots for crosstalk noise calculation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Eleftheria Athanasopoulou, Christoforos N. Hadjicostis Bounds on FSM Switching Activity. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low power design, Markov models, Hamming distance, State assignment, Switching activity
46Felipe Machado, Teresa Riesgo, Yago Torroja Disjoint Region Partitioning for Probabilistic Switching Activity Estimation at Register Transfer Level. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity estimation, CAD, VHDL, BDD, power estimation, RTL, Switching activity, circuit partition, digital circuit design
46Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Test Vector Ordering Technique for Switching Activity Reduction During Test Operation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF test vector ordering, test, low power, switching activity
46Taku Uchino, Fumihiro Minami, Takashi Mitsuhashi, Nobuyuki Goto Switching activity analysis using Boolean approximation method. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Signal Correlation, Power Estimation, Switching Activity, Signal Probability
46Sanjukta Bhanja, N. Ranganathan Switching activity estimation of VLSI circuits using Bayesian networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Radu Marculescu, Diana Marculescu, Massoud Pedram Probabilistic modeling of dependencies during switching activity analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Jason Helge Anderson, Farid N. Najm Power estimation techniques for FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Sanjukta Bhanja, N. Ranganathan Cascaded Bayesian inferencing for switching activity estimation with correlated inputs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Yu-Hui Huang, Po-Yuan Chen, TingTing Hwang Switching-activity driven gate sizing and Vth assignment for low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Carmen Baena Oliva, Jorge Juan-Chico, Manuel J. Bellido, Paulino Ruiz-de-Clavijo, Carlos Jesús Jiménez-Fernández, Manuel Valencia 0001 Measurement of the Switching Activity of CMOS Digital Circuits at the Gate Level. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Janardhan H. Satyanarayana, Keshab K. Parhi Theoretical analysis of word-level switching activity in the presence of glitching and correlation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Janardhan H. Satyanarayana, Keshab K. Parhi Theoretical Analysis of Word-Level Switching Activity in the Presence of Glitching and Correlation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41José Monteiro 0001, Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer, Jacob K. White 0001 Estimation of average switching activity in combinational logic circuits using symbolic simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Salvador Manich, Joan Figueras Maximizing the weighted switching activity in combinational CMOS circuits under the variable delay model. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Jeremy Lee, Sumit Narayan, Mike Kapralos, Mohammad Tehranipoor Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Loop scheduling with timing and switching-activity minimization for VLIW DSP. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops
40Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De Reducing the data switching activity of serialized datastreams. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De Reducing the Data Switching Activity on Serial Link Buses. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Switching activity generation with automated BIST synthesis forperformance testing of interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Vasily G. Moshnyaga Reducing Switching Activity of Subtraction via Variable Truncation of the Most-Significant Bits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bit-truncation, low-power design, video processing, switching activity
38Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi Sign bit reduction encoding for low power applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF signed multiplier, sing extension, low power, switching activity, bus encoding
37Jun Yang 0002, Rajiv Gupta 0001, Chuanjun Zhang Frequent value encoding for low power data buses. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF I/O pin capacitance, Low power data buses, internal capacitance, encoding, switching
36Seongmoon Wang, Wenlong Wei A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs
36Santiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski Low Shift and Capture Power Scan Tests. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Chi-Ming Tsai, Guang-Wan Liao, Rung-Bin Lin A Low Power-Delay Product Page-Based Address Bus Coding Method. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Bruce R. Childers, Tarun Nakra Reordering Memory Bus Transactions for Reduced Power Consumption. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Zili Shao, Qingfeng Zhuge, Meilin Liu, Bin Xiao 0001, Edwin Hsing-Mean Sha Switching-Activity Minimization on Instruction-Level Loop Scheduling for VLIWDSP Applications. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Seongmoon Wang, Sandeep K. Gupta 0001 DS-LFSR: a BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Ashok K. Murugavel, N. Ranganathan A Real Delay Switching Activity Simulator Based on Petri Net Modeling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Vamsi Krishna, N. Ranganathan A Methodology for High Level Power Estimation and Exploration. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low Power Designs, Power Estimation, Switching Activity, High Level Designs
33Chuan-Yu Wang, Kaushik Roy 0001 Control unit synthesis targeting low-power processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF control unit synthesis, low-power processors, low-power decoding scheme, microprogrammed architecture, nanoprogrammed architecture, 8086 instruction set, instruction opcodes, computer architecture, logic design, encoding, decoding, microprocessor chips, graph embedding, instruction sets, microprogramming, CMOS circuits, logic minimization, system reliability, switching activity, minimisation of switching nets, pseudo-Boolean programming
33José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
33Seongmoon Wang, Sandeep K. Gupta 0001 An automatic test pattern generator for minimizing switching activity during scan testing activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
32Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Kostas Masselos, Panagiotis Merakos, Thanos Stouraitis, Constantinos E. Goutis Low power synthesis of sum-of-product computation in DSP algorithms. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Oscar Gustafsson, Saeeid Tahmasbi Oskuii, Kenny Johansson, Per Gunnar Kjeldsberg Switching Activity Reduction of MAC-Based FIR Filters with Correlated Input Data. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual bit type, coefficient reordering, MAC, FIR filter, switching activity
31Sanjukta Bhanja, N. Ranganathan Modeling Switching Activity Using Cascaded Bayesian Networks for Correlated Input Streams. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Chin-Chi Teng, Anthony M. Hill, Sung-Mo Kang Estimation of maximum transition counts at internal nodes in CMOS VLSI circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF maximum switching activity, uncertainty waveforms, circuit reliability
29R. V. K. Pillai, Dhamin Al-Khalili, Asim J. Al-Khalili, S. Y. A. Shah A Low Power Approach to Floating Point Adder Design for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF digital-CMOS, power-consumption-model, VLSI, low-power-design, computer-arithmetic, floating-point, switching-activity
29Seongmoon Wang A BIST TPG for Low Power Dissipation and High Fault Coverage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Wei-Chung Cheng, Massoud Pedram Low power techniques for address encoding and memory allocation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Khurram Muhammad, Dinesh Somasekhar, Kaushik Roy 0001 Switching Characteristics of Generalized Array Multiplier Architectures and their Applications to Low Power Design. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Lakshmikant Bhupathi, Liang-Fang Chao Dichotomy-based Model for FSM Power Minimization. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Chi-Ying Tsui, José Monteiro 0001, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin 0001 Power estimation methods for sequential logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Raid Ayoub, Alex Orailoglu A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise & delay on processor buses. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Snehashis Roy, Sukumar Jairam, H. Udayakumar A Methodology for Switching Activity Based IO Powerpad Optimisation. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Sanjukta Bhanja, N. Ranganathan Switching Activity Estimation of Large Circuits using Multiple Bayesian Networks. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Zili Shao, Qingfeng Zhuge, Meilin Liu, Edwin Hsing-Mean Sha, Bin Xiao 0001 Loop Scheduling for Real-Time DSPs with Minimum Switching Activities on Multiple-Functional-Unit Architectures. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Zili Shao, Qingfeng Zhuge, Edwin Hsing-Mean Sha, Chantana Chantrapornchai Loop scheduling for minimizing schedule length and switching activities. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Huy T. Nguyen, Abhijit Chatterjee, Rabindra K. Roy Activity Measures for Fast Relative Power Estimation in Numerical Transformation for Low Power DSP Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Synchronous Sequential Circuits that Maintains Functional Switching Activity. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Seongmoon Wang, Sandeep K. Gupta 0001 LT-RTPG: a new test-per-scan BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Alberto García Ortiz, Lukusa D. Kabulepa, Manfred Glesner Switching Activity Estimation in Non-linear Architectures. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Eleftheria Athanasopoulou, Christoforos N. Hadjicostis Upper and lower bounds on FSM switching activity. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Markus Bühler, Matthias Papesch, K. Kapp, Utz G. Baitinger Efficient Switching Activity Simulation under a Real Delay Model Using a Bitparallel Approach. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Jeremy Lee, Mohammad Tehranipoor LS-TDF: Low-Switching Transition Delay Fault Pattern Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami Reducing power consumption of instruction ROMs by exploiting instruction frequency. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Yan Zhang 0028, John C. Lach, Kevin Skadron, Mircea R. Stan Odd/even bus invert with two-phase transfer for buses with coupling. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bus invert, buses with coupling, coding for low-power I/O
26Wang-Dauh Tseng Generation of Primary Input Blocking Pattern for Power Minimization during Scan Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition density, switching activity during test, clique, low power testing, full scan
26Malav Shah Efficient scan-based BIST scheme for low power testing of VLSI chips. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test-per-clock, test-per-scan, scan, partial scan, switching activity, test length
26Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj Estimation of state line statistics in sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal statistics, transition density, finite-state machine, sequential circuit, Power estimation, switching activity, signal probability
26Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba Static Compaction Techniques to Control Scan Vector Power Dissipation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing
26Raghava V. Cherabuddi, Magdy A. Bayoumi, H. Krishnamurthy A low power based system partitioning and binding technique for multi-chip module architectures. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF binding technique, multi-chip module architectures, high-level synthesis framework, inter-chip buses, stochastic evolution based technique, multichip modules, switching activity, MCM, functional units, system partitioning, benchmark designs
26Janardhan H. Satyanarayana, Keshab K. Parhi, Leilei Song, Yun-Nan Chang Systematic analysis of bounds on power consumption in pipelined and non-pipelined multipliers. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipelined multipliers, nonpipelined multipliers, Baugh-Wooley multiplier, binary tree multiplier, Wallace tree multiplier, STDs, sub circuits, energy values, cubic dependence, word length, quadratic dependence, digital CMOS circuits, CMOS adder, low power arithmetic units, power consumption, power consumption, switching activity, state transition diagrams
26Dinesh C. Suresh, Banit Agrawal, Jun Yang 0002, Walid A. Najjar Energy-efficient encoding techniques for off-chip data buses. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low-power data buses, bus switching, internal capacitances, encoding
26Dinesh C. Suresh, Banit Agrawal, Jun Yang 0002, Walid A. Najjar, Laxmi N. Bhuyan Power efficient encoding techniques for off-chip data buses. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FV, FV-MSB-LSB, data bus, low power, bus encoding
26R. Iris Bahar, Ernest T. Lampe, Enrico Macii Power optimization of technology-dependent circuits based on symbolic computation of logic implications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design synthesis, logic design, automation, aids
26Tan-Li Chou, Kaushik Roy 0001 Estimation of activity for static and domino CMOS circuits considering signal correlations and simultaneous switching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha Register binding-based RTL power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Amir H. Farrahi, Chunhong Chen, Ankur Srivastava 0001, Gustavo E. Téllez, Majid Sarrafzadeh Activity-driven clock design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Shu-Cheng Chou, Mong-Kai Ku, Chia-Yu Lin Switching activity reducing layered decoding algorithm for LDPC codes. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Sanjukta Bhanja, Karthikeyan Lingasubramanian, N. Ranganathan Estimation of Switching Activity in Sequential Circuits Using Dynamic Bayesian Networks. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Kenny Johansson, Oscar Gustafsson, Lars Wanhammar Power Estimation for Ripple-Carry Adders with Correlated Input Data. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Mikael Kerttu, Per Lindgren, Mitchell A. Thornton, Rolf Drechsler Switching activity estimation of finite state machines for low power synthesis. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Li-Hsun Chen, Oscal T.-C. Chen, Teng-Yi Wang, Yung-Cheng Ma A multiplication-accumulation computation unit with optimized compressors and minimized switching activities. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Tan-Li Chou, Kaushik Roy 0001, Sharat Prasad Estimation of circuit activity considering signal correlations and simultaneous switching. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Anshuman Chandra, Rohit Kapur Bounded Adjacent Fill for Low Capture Power Scan Testing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF capture power, random fill, shift power, test, low power, scan
22Kostas Siozios, Dimitrios Soudris A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Amit Kedia, Resve A. Saleh Power Reduction of On-Chip Serial Links. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chung-Hsiang Lin, Chia-Lin Yang, Ku-Jei King Hierarchical value cache encoding for off-chip data bus. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF data bus encoding, hierarchical value cache, energy
22Mehdi Salmani Jelodar, Kiarash Mizanian Power Aware Scan-Based Testing using Genetic Algorithm. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Paulino Ruiz-de-Clavijo, Jorge Juan-Chico, Manuel Jesús Bellido Díaz, Alejandro Millán Calderón, David Guerrero Martos, Enrique Ostúa, Julian Viejo Logic-Level Fast Current Simulation for Digital CMOS Circuits. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Shantanu Gupta, Tarang Vaish, Santanu Chattopadhyay Flip-flop chaining architecture for power-efficient scan during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Wei-Chung Cheng, Massoud Pedram Power-optimal encoding for a DRAM address bus. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Li Shang, Alireza Kaviani, Kusuma Bathala Dynamic power consumption in Virtex[tm]-II FPGA family. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22K. Basu, Alok N. Choudhary, Jayaprakash Pisharath, Mahmut T. Kandemir Power protocol: reducing power dissipation on off-chip data buses. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Rung-Bin Lin, Chi-Ming Tsai Weight-Based Bus-Invert Coding for Low-Power Applications. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 511 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license