The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase synthesis-for-testability (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1993 (21) 1994-1996 (19) 1997-2000 (18) 2001-2010 (8)
Publication types (Num. hits)
article(33) incollection(1) inproceedings(32)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 154 occurrences of 90 keywords

Results
Found 66 publication records. Showing 66 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
63Srivaths Ravi 0001, Niraj K. Jha Test synthesis of systems-on-a-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel Enhancing high-level control-flow for improved testability. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis for testability, at-speed testing, testability measures, test point insertion, high-level description
54Alex Orailoglu Microarchitectural synthesis for rapid BIST testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Frank F. Hsu, Janak H. Patel A distance reduction approach to design for testability. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distance reduction approach, center state, test function embedding technique, SFT techniques, logic testing, finite state machines, finite state machines, design for testability, design for testability, sequential circuits, sequential circuits, flip-flops, flip-flops, synthesis for testability, test function, average distance, DFT techniques
52Chung-Hsing Chen, Tanay Karnik, Daniel G. Saab Structural and behavioral synthesis for testability techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
48Irith Pomeranz, Sudhakar M. Reddy Synthesis for Broadside Testability of Transition Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF broadside tests, standard scan, transition faults, test synthesis, full-scan circuits
48Harry Hengster, Bernd Becker 0001 Synthesis of Circuits Derived from Decision Diagrams - Combining Small Delay and Testability. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF EXOR-based Synthesis, Decision Diagrams, Synthesis for Testability, High Speed Circuits
48Franco Fummi, Donatella Sciuto, M. Serro Synthesis for testability of large complexity controllers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF large complexity controllers, industrial design environments, top-down methodology, hierarchical descriptions, irredundant circuits, optimized gate-level descriptions, testable descriptions, specification, high level synthesis, finite state machines, finite state machines, design for testability, design for testability, VHDL, automatic testing, logic CAD, hardware description languages, FSM, synthesis for testability, logic gates
43Saghir A. Shaikh, Jitendra Khare, Hans T. Heineken Manufacturability and Testability Oriented Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesis Optimization, CAD, System on Chip, Design for Manufacturability, High Level Test Synthesis
37Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Synthesis-for-testability of controller-datapath pairs that use gated clocks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Richard M. Chou, Kewal K. Saluja Sequential Circuit Testing: From DFT to SFT. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design-for-testability methods, SFT techniques, large sequential circuits, logic testing, automatic test pattern generation, ATPG, synthesis-for-testability, sequential circuit testing, DFT techniques
37Frank F. Hsu, Elizabeth M. Rudnick, Janak H. Patel Testability Insertion in Behavioral Descriptions. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis for testability, at-speed testing, testability measures, test point insertion, high-level description
37Steven M. Nowick, Niraj K. Jha, Fu-Chiung Cheng Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault testability, robust path delay fault testability, multilevel logic, hazard-free logic, synthesis for testability method, multi-level circuit, minimization algorithms, logic testing, delays, redundancy, design for testability, logic design, combinational circuits, asynchronous circuits, asynchronous circuits, multivalued logic circuits, minimisation of switching nets, area overhead, hazards and race conditions
37Franco Fummi, Donatella Sciuto, Micaela Serra Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuits, functional testing, Synthesis for testability, logic minimization, redundant faults, redundancies removal
37Shashank K. Mehta, Kent L. Einspahr, Sharad C. Seth Synthesis for Testability by Two-Clock Control. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-clock control scheme, split coding system, FSM benchmark, timing, finite state machine, sequential circuit, encoding, logic synthesis, Hamiltonian cycle, synthesis for testability, state transition graph
37Vladimir Castro Alves, A. Ribeiro Antunes, Meryem Marzouki A Pragmatic, Systematic And Flexible Synthesis For Testability Methodology. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high-level synthesis for testability, systematic flexible synthesis, testability methodology, industrial tools, pragmatic synthesis, AMICAL synthesis, programmable test pattern generation, BUS-based circuit, embedded test paths, high level synthesis, design for testability, BIST, automatic generation, data path, scan path
36Sujit Dey, Anand Raghunathan, Kenneth D. Wagner Design for Testability Techniques at the Behavioral and Register-Transfer Levels. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral synthesis for testability, behavioral synthesis for BIST, high-level test generation, RTL synthesis for testability, design for testability
36Srimat T. Chakradhar, Suman Kanjilal, Vishwani D. Agrawal Finite state machine synthesis with fault tolerant test function. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Design for combinational test generation, finite state machine synthesis, test function embedding, synthesis for testability, fault-tolerant design
29Marie-Lise Flottes, R. Pires, Bruno Rouzeyre, Laurent Volpe Scanning Datapaths: A Fast and Effective Partial Scan Selection Technique. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scan chain selection, RT and gate levels, Partial scan, Synthesis for testability
25Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: practice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Christof Nagel Synthesis for testability by synthesis controlling. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Meghanad D. Wagh, Chien-In Henry Chen High-level design synthesis with redundancy removal for high speed testable adders. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Steven M. Nowick, Niraj K. Jha, Fu-Chiung Cheng Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Chip-Hong Chang, Aijiao Cui Synthesis-for-Testability Watermarking for Field Authentication of VLSI Intellectual Property. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Masato Nakasato, Satoshi Ohtake, Kewal K. Saluja, Hideo Fujiwara Acceleration of Test Generation for Sequential Circuits Using Knowledge Obtained from Synthesis for Testability. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth A synthesis for testability scheme for finite state machines using clock control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Chien-Chung Tsai, Malgorzata Marek-Sadowska Logic Synthesis for Testability. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Fixed-Polarity Reed-Muller Forms, Logic synthesis, Testability
19Kenneth D. Wagner, Sujit Dey High-Level Synthesis for Testability: A Survey and Perspective. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli Synthesis for testability techniques for asynchronous circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Synthesis for Testability by Sequential Redundancy Removal Using Retiming. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Bernd Becker 0001, Rolf Drechsler Synthesis for testability: circuits derived from ordered Kronecker functional decision diagrams. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy Synthesis-for-testability using transformations. Search on Bibsonomy ASP-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz, Sudhakar M. Reddy On Synthesis-for-Testability of Combinational Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential test generation and synthesis for testability at the register-transfer and logic levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz, Sudhakar M. Reddy Design and Synthesis for Testability of Synchronous Sequential Circuits Based on Strong-Connectivity. Search on Bibsonomy FTCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Bernd Becker 0001 Synthesis for Testability: Binary Decision Diagrams. Search on Bibsonomy Informatik The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Chung-Hsing Chen, Daniel G. Saab Behavioral synthesis for testability. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Bernd Becker 0001 Synthesis for Testability: Binary Decision Diagrams. Search on Bibsonomy STACS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF VLSI structures, (complete, full) testability, synthesis, fault model, algorithms and data structures
19Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli Synthesis for Testability Techniques for Asynchronous Circuits. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Srinivas Devadas, Kurt Keutzer, Abhijit Ghosh Recent progress in synthesis for testability. Search on Bibsonomy VTS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19 A D&T Roundtable: Synthesis for Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  BibTeX  RDF
19Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential logic synthesis for testability using register-transfer level descriptions. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Implementing Symmetric Functions with Hierarchical Modules for Stuck-At and Path-Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF universal tests, stuck-at fault, path-delay fault, synthesis-for-testability, unate function, symmetric boolean function
18Peter Bukovjan, Laurent Ducerf-Bourbon, Meryem Marzouki Cost/Quality Trade-off in Synthesis for BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF DFT reuse, BIST, synthesis for testability, testability analysis
18Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Integrated test of interacting controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in self-test, register transfer level, synthesis-for-testability
18Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi False-Path Removal Using Delay Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal
18Albrecht P. Stroele Synthesis for Arithmetic Built-In Self-Tes. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator
18Rolf Drechsler, Harry Hengster, Horst Schäfer, Joachim Hartmann, Bernd Becker 0001 Testability of 2-Level AND/EXOR Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF AND/EXOR, 2-level circuits, synthesis for testability, random pattern testability
18Srivaths Ravi 0001, Indradeep Ghosh, Rabindra K. Roy, Sujit Dey Controller Resynthesis for Testability Enhancement of RTL Controller/Data path Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Respecification, Synthesis for Testability, Don't Cares, High Level Testing
18Frank F. Hsu, Janak H. Patel Design for Testability Using State Distances. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF state distance, finite-state-machine, design-for-testability, synthesis-for-testability
18Supratik Chakraborty, Dipanwita Roy Chowdhury, Parimal Pal Chaudhuri Theory and Application of Nongroup Cellular Automata for Synthesis of Easily Testable Finite State Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis for testability (SFT), testable sequential machines, Cellular automata
18Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara An Approach To The Synthesis Of Synchronizable Finite State Machines With Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronizable finite state machines, sequential circuits synthesis, extended synchronizing sequence, scan inputs, normal inputs, MCNC'91 benchmark FSM, minimum-length extended synchronizing sequence, test generation, finite state machines, DFT, heuristic algorithm, minimization, partial scan, synthesis for testability, state assignment, state transition, state encoding
18Harry Hengster, Rolf Drechsler, Bernd Becker 0001, Stefan Eckrich, Tonja Pfeiffer AND/EXOR based Synthesis of Testable KFDD-Circuits with Small Depth. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF EXOR based synthesis, synthesis for testability, delay optimization
18Maria J. Avedillo, José M. Quintana, José Luis Huertas Constrained state assignment of easily testable FSMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Finite state machines, synthesis for testability, state assignment
18Ashutosh Mujumdar, Rajiv Jain, Kewal K. Saluja Incorporating testability considerations in high-level synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Automatic synthesis of testable designs, loop breaking, high-level synthesis, binding, synthesis for testability
18Bernhard Eschermann Enhancing on-line testability during synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF BIST, synthesis for testability, control flow checking, controller synthesis
18Sen-Pin Lin, Charles Njinda, Melvin A. Breuer Generating a family of testable designs using the BILBO methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF BILBO design system, built-in self-test, test scheduling, synthesis for testability
18Bernhard Eschermann An implicitly testable boundary scan TAP controller. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF test controller, BIST, self-test, boundary scan, synthesis for testability, controller design
18Vishwani D. Agrawal, Kwang-Ting Cheng Finite state machine synthesis with embedded test function. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF VLSI, Computer-Aided Design, Test Generation, Logic Synthesis, Synthesis for Testability
18Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton Redundancies and don't cares in sequential logic synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF redundancies, synthesis for testability, don't cares
14Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Heuristic minimization of Boolean relations using testing techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian Switching activity generation with automated BIST synthesis forperformance testing of interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Vishwani D. Agrawal, Kwang-Ting Cheng Test Function Specification in Synthesis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #66 of 66 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license