The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase timing-driven (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1993 (18) 1994-1996 (17) 1997 (16) 1998 (17) 1999 (20) 2000 (17) 2001-2002 (34) 2003 (28) 2004 (28) 2005 (26) 2006 (27) 2007-2008 (46) 2009-2010 (18) 2011-2014 (15) 2015-2016 (22) 2017-2019 (21) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(94) incollection(3) inproceedings(290) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 276 occurrences of 163 keywords

Results
Found 391 publication records. Showing 391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
68Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li 0001, Charles J. Alpert, Shyam Ramji, Chris Chu ITOP: integrating timing optimization within placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, timing optimization, physical synthesis
65Ali Dasdan, Dinesh Ramanathan, Rajesh K. Gupta 0001 A timing-driven design and validation methodology for embedded real-time systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF period assignment, period derivation, rate assignment, rate derivation, timing-driven codesign, requirements analysis, timing analysis, system-level design, performance verification
57Jason Helge Anderson, Sudip Nag, Kamal Chaudhary, Sandor Kalman, Chari Madabhushi, Paul Cheng Run-Time-Conscious Automatic Timing-Driven FPGA Layout Synthesis. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Zhuo Li 0001, David A. Papa, Charles J. Alpert, Shiyan Hu, Weiping Shi, Cliff C. N. Sze, Nancy Ying Zhou Ultra-fast interconnect driven cell cloning for minimizing critical path delay. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gate duplication, physical synthesis, timing-driven placement
54Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang Timing-driven Steiner trees are (practically) free. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF arborescence, timing-driven, rectilinear Steiner tree
51Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
48Alexander Marquardt, Vaughn Betz, Jonathan Rose Timing-driven placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai A Timing-Driven Block Placer Based on Sequence Pair Model. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing-driven, building block placement, sequence pair, simulated annealing algorithm
47Keith So Enforcing long-path timing closure for FPGA routing with path searches on clamped lexicographic spirals. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lexicographic search, negotiated congestion, timing-driven routing, FPGA
46Seokjin Lee, D. F. Wong 0001 Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing-driven routing, FPGA, Lagrangian relaxation
44Jin-Tai Yan Timing-driven octilinear Steiner tree construction based on Steiner-point reassignment and path reconstruction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF octilinear Steiner tree, Global routing, Elmore delay, Steiner points
44Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Timing-driven placement using design hierarchy guided constraint generation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin Timing driven force directed placement with physical net constraints. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF net constraints, timing driven placement, force directed placement
43Jaewon Kim, Sung-Mo Kang A timing-driven data path layout synthesis with integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, integer programming, timing-driven placement, data path, bit-slice
43Xianlong Hong, Tianxiong Xue, Jin Huang, Chung-Kuan Cheng, Ernest S. Kuh TIGER: an efficient timing-driven global router for gate array and standard cell layout design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
41Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
40Di Wu 0017, Jiang Hu, Min Zhao 0001, Rabi N. Mahapatra Timing driven track routing considering coupling capacitance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Keoncheol Shin, Taewhan Kim Tight integration of timing-driven synthesis and placement of parallel multiplier circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Luca Sterpone Timing Driven Placement for Fault Tolerant Circuits Implemented on SRAM-Based FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, Single Event Upset, Triple Modular Redundancy, Timing-driven Placement
39Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
38Jin-Tai Yan, Zhi-Wei Chen Resource-constrained timing-driven link insertion for critical delay reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF critical delay, link insertion, non-tree
37Tao Luo 0002, David A. Papa, Zhuo Li 0001, Chin Ngai Sze, Charles J. Alpert, David Z. Pan Pyramids: an efficient computational geometry-based approach for timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Tao Luo 0002, David Newmark, David Z. Pan A new LP based incremental timing driven placement for high performance designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Shih-Lian T. Ou, Massoud Pedram Timing-driven placement based on partitioning with dynamic cut-net control. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Kenneth Eguro, Scott Hauck Enhancing timing-driven FPGA placement for pipelined netlists. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing-driven, FPGA, simulated annealing, pipelined, placement
35Lijuan Luo, Qiang Zhou 0001, Yici Cai, Xianlong Hong, Yibo Wang A novel technique integrating buffer insertion into timing driven placement. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Qingzhou (Ben) Wang, John Lillis, Shubhankar Sanyal An LP-based methodology for improved timing-driven placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35PariVallal Kannan, Dinesh Bhatia Interconnect Estimation for FPGAs under Timing Driven Domains. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Rajat Aggarwal, Rajeev Murgai, Masahiro Fujita Speeding up technology-independent timing optimization by network partitioning. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Jin-Tai Yan, Tzu-Ya Wang, Yu-Cheng Lee Timing-driven Steiner tree construction based on feasible assignment of hidden Steiner points. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown Predicting Interconnect Delay for Physical Synthesis in a FPGA CAD Flow. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
33Jingyu Xu, Xianlong Hong, Tong Jing Timing-driven global routing with efficient buffer insertion. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Ashwin K. Kumaraswamy, Ahmet T. Erdogan, Indrajit Atluri Development of Timing Driven IP Design Flow based on Physical Knowledge Synthesis. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Kenneth Eguro, Scott Hauck Armada: timing-driven pipeline-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline FPGA, pipeline routing, reconfigurable computing
32Keoncheol Shin, Taewhan Kim An integrated approach to timing-driven synthesis and placement of arithmetic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Yao-Wen Chang, Kai Zhu 0001, D. F. Wong 0001 Timing-driven routing for symmetrical array-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field-programmable gate array, synthesis, layout, computer-aided design of VLSI
31Mihir R. Choudhury, Kartik Mohanram Timing-driven optimization using lookahead logic circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic synthesis, timing optimization, lookahead
31Hsin-Hsiung Huang, Hui-Yu Huang, Yu-Cheng Lin, Tsai-Ming Hsieh Timing-driven obstacles-avoiding routing tree construction for a multiple-layer system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Andrew B. Kahng, Qinke Wang An analytic placer for mixed-size placement and timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31R. V. Raj, N. S. Murty, P. S. Nagendra Rao, Lalit M. Patnaik Effective Heuristics for Timing Driven Constructive Placement. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Cristinel Ababei, Kia Bazargan Timing Minimization by Statistical Timing hMetis-based Partitioning. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Bill Halpin, Naresh Sehgal, C. Y. Roger Chen Detailed Placement with Net Length Constraints. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Chanseok Hwang, Massoud Pedram Timing-driven placement based on monotone cell ordering constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Bo Hu Timing-driven placement for heterogeneous field programmable gate array. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown Difficulty of predicting interconnect delay in a timing driven FPGA CAD flow. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Shihliang Ou, Massoud Pedram Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static timing analysis, timing-driven placement
29Gang Chen 0020, Jason Cong Simultaneous timing-driven placement and duplication. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic duplication, FPGA, legalization, timing-driven placement, redundancy removal
29Pongstorn Maidee, Cristinel Ababei, Kia Bazargan Fast timing-driven partitioning-based placement for island style FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA placement, partitioning based placement, FPGAs, timing-driven placement
29Vinod Narayananan, David LaPotin, Rajesh Gupta 0003, Gopalakrishnan Vijayan PEPPER - a timing driven early floorplanner. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PEPPER, timing driven early floorplanner, chip complexities, early analysis, performance critical CMOS chips, wireability, floorplan optimization process, performance, computational complexity, optimisation, timing, system design, circuit layout CAD, CMOS integrated circuits, static timing analysis, integrated circuit layout, area, interconnect delay
29Dimitrios Karayiannis, Spyros Tragoudas Uniform area timing-driven circuit implementation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit module, cell library, input-output paths, overall area, timing-driven circuit implementation, computational complexity, heuristics, CAD, delays, timing, sequential circuits, sequential circuits, NP-hard, directed graphs, combinational circuits, combinational circuits, logic CAD, polynomial time algorithm, directed acyclic graphs, circuit CAD, cellular arrays, propagation delay
29Hsin-Hsiung Huang, Shu-Ping Chang, Yu-Cheng Lin, Tsai-Ming Hsieh Timing-driven X-architecture router among rectangular obstacles. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Qinghua Liu, Bo Hu 0006, Malgorzata Marek-Sadowska Individual wire-length prediction with application to timing-driven placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Seokjin Lee, Martin D. F. Wong Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Jason Cong, Michail Romesis, Min Xie 0004 Optimality and Stability Study of Timing-Driven Placement Algorithms. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Anmol Mathur, C. L. Liu 0001 Timing-driven placement for regular architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Jacob White 0001, Jacob Avidan, Ibrahim Abe M. Elfadel, D. F. Wong 0001 Advances in transistor timing, simulation, and optimization (tutorial abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Mongkol Ekpanyapong, Michael B. Healy, Sung Kyu Lim Placement for configurable dataflow architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Michael D. Moffitt, David A. Papa, Zhuo Li 0001, Charles J. Alpert Path smoothing via discrete optimization. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static timing analysis, timing-driven placement
27Sherief Reda, Amit Chowdhary Effective linear programming based placement methods. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF relative placement, whitespace management, linear programming, timing-driven placement
27Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
26Jinpeng Zhao, Qiang Zhou 0001, Yici Cai Fast congestion-aware timing-driven placement for island FPGA. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Milos Hrkic, John Lillis, Giancarlo Beraudo An Approach to Placement-Coupled Logic Replication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Andrew B. Kahng, Stefanus Mantik, Igor L. Markov Min-max placement for large-scale timing optimization. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Shih-Hsu Huang, Yi-Siang Hsu A timing driven approach for crosstalk minimization in gridded channel routing. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Sung-Woo Hur, Ashok Jagannathan, John Lillis Timing-driven maze routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement-driven synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja False Path and Clock Scheduling Based Yield-Aware Gate Sizing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Rashmi Mehrotra, Tom English, Michel P. Schellekens, Steve Hollands, Emanuel M. Popovici Timing-Driven Power Optimisation and Power-Driven Timing Optimisation of Combinational Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Huan Ren, Shantanu Dutt Constraint satisfaction in incremental placement with application to performance optimization under power constraints. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24S. Grout, G. Ledenbach, R. G. Bushroe, P. Fisher, Donald Cottrell, David Mallis, S. DasGupta, Joseph Morrell, Amrich Chokhavtia CHDStd - application support for reusable hierarchical interconnect timing views. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Bernd Obermeier, Frank M. Johannes Quadratic placement using an improved timing model. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Steiner tree net model, optimization potential, sensitivity, Quadratic placement, timing driven placement
24Sung-Woo Hur, Tung Cao, Karthik Rajagopal, Yegna Parasuram, Amit Chowdhary, Vladimir Tiourin, Bill Halpin Force directed mongrel with physical net constraints. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF mongrel, net constraints, timing driven placement, force directed placement
24Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen An iterative area/performance trade-off algorithm for LUT-based FPGA technology mapping. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LUT-Based FPGA Technology Mapping, Area/Performance Trade-Off and Timing Driven FPGA Synthesis
23Hosung (Leo) Kim, John Lillis A framework for layout-level logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF logic resynthesis, timing optimization
23Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez Unification of Budgeting and Placement. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A faster approximation scheme for timing driven minimum cost layer assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic programming, np-complete, oracle, fully polynomial time approximation scheme, layer assignment
22Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic programming, NP-complete, buffer insertion, fully polynomial time approximation scheme, cost minimization
22Shantanu Dutt, Hasan Arslan Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang, Jun Gu A coupling and crosstalk considered timing-driven global routing algorithm for high performance circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jerry C.-Y. Kao, C.-F. Su, Allen C.-H. Wu High-performance FIR generation based on a timing-driven architecture and component selection method. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Jingyu Xu, Xianlong Hong, Tong Jing, Yici Cai, Jun Gu An Efficient Hierarchical Timing-Driven Steiner Tree Algorithm for Global Routing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Amir H. Ajami, Massoud Pedram Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Ankur Srivastava 0001, Chunhong Chen, Majid Sarrafzadeh Timing driven gate duplication in technology independent phase. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Suphachai Sutanthavibul, Eugene Shragowitz An Adaptive Timing-Driven Layout for High Speed VLSI. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Ke Cao, Jiang Hu, Mosong Cheng Wire Sizing and Spacing for Lithographic Printability and Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21H. Chang, Eugene Shragowitz, Jian Liu, Habib Youssef, Bing Lu, Suphachai Sutanthavibul Net criticality revisited: an effective method to improve timing in physical design. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF criticality metrics, net delay bound, routing, placement
20Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik Routing-aware scan chain ordering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, Layout, scan chain
20Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Andrew B. Kahng, Xu Xu 0001 Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Loïs Guiller, Frederic Neuveux, S. Duggirala, R. Chandramouli, Rohit Kapur Integrating DFT in the Physical Synthesis Flow. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Dimitrios Mangiras, Apostolos Stefanidis, Ioannis Seitanidis, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos Timing-Driven Placement Optimization Facilitated by Timing-Compatibility Flip-Flop Clustering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Mateus Fogaça, Guilherme Flach, Jucemar Monteiro, Marcelo O. Johann, Ricardo Reis 0001 Quadratic timing objectives for incremental timing-driven placement optimization. Search on Bibsonomy ICECS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Andrey Ayupov, Leonid Kraginskiy A novel timing-driven placement algorithm using smooth timing analysis. Search on Bibsonomy EWDTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 391 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license