The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for waveform with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1972 (16) 1973-1980 (17) 1981-1983 (18) 1984-1986 (30) 1987-1988 (26) 1989 (19) 1990 (26) 1991 (23) 1992 (30) 1993 (26) 1994 (40) 1995 (34) 1996 (32) 1997 (37) 1998 (34) 1999 (53) 2000 (50) 2001 (49) 2002 (70) 2003 (79) 2004 (92) 2005 (129) 2006 (154) 2007 (170) 2008 (194) 2009 (113) 2010 (95) 2011 (106) 2012 (154) 2013 (126) 2014 (148) 2015 (193) 2016 (182) 2017 (221) 2018 (253) 2019 (323) 2020 (314) 2021 (401) 2022 (432) 2023 (398) 2024 (89)
Publication types (Num. hits)
article(2621) book(4) data(4) incollection(16) inproceedings(2307) phdthesis(44)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 544 occurrences of 420 keywords

Results
Found 4996 publication records. Showing 4996 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
92Ratnakar Goyal, Harindranath Parameswaran, Sachin Shrivastava Computation of Waveform Sensitivity Using Geometric Transforms for SSTA. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Timing Library, Accuracy, SSTA
80Abhijit Chatterjee, Rathish Jayabharathi, Pankaj Pant, Jacob A. Abraham Non-robust tests for stuck-fault detection using signal waveform analysis: feasibility and advantages. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF waveform analysis, nonrobust tests, stuck-fault detection, signal waveform analysis, signal waveform integration, directed random test generation techniques, fault diagnosis, logic testing, redundancy, integrated circuit testing, combinational circuits, combinational circuits, automatic testing, detectability, fault coverage, test application time, redundant faults
80Eugene Joseph, Theodosios Pavlidis Bar Code Waveform Recognition Using Peak Locations. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF bar code waveform recognition, peak locations, blurred waveforms, blurring process, waveform peaks, waveform deblurring, edge detection, parameter estimation, statistical analysis, histogram, statistical pattern recognition, zero crossings, noise tolerance, bar codes, edge features
78Yinghua Min, Zhuxing Zhao, Zhongcheng Li Boolean process-an analytical approach to circuit representation (II). Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform analysis, circuit representation, logical behavior, waveform functions, mathematical tools, waveform polynomials, input transitions, VLSI, Boolean functions, timing, design for testability, logic design, logical design, polynomials, integrated circuit design, VLSI circuits, performance enhancement, timing behavior, Boolean process, circuit delay
76Koichiro Noguchi, Makoto Nagata An On-Chip Multichannel Waveform Monitor for Diagnosis of Systems-on-a-Chip Integration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
76Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent waveform propagation for static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
69Chunming Xia, Yan Li, Jianjun Yan, Yiqin Wang, Haixia Yan, Rui Guo 0001, Fufeng Li A Practical Approach to Wrist Pulse Segmentation and Single-period Average Waveform Estimation. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wrist pulse segmentation, average waveform estimation, zero-phase filtering, cross-covariance, alignment, adaptive threshold
69Zdzislaw Jackiewicz, Bruno D. Welfert, Barbara Zubik-Kowal Spectral Versus Pseudospectral Solutions of the Wave Equation by Waveform Relaxation Methods. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF spectral and pseudospectral methods, waveform relaxation iterations, spectra and pseudospectra, Wave equation
68Chauchin Su, Yi-Ren Cheng, Yue-Tsang Chen, Shing Tenchen Analog signal metrology for mixed signal ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Analog signal metrology, multiple period low-rate sampled waveform, high-rate sampled waveform, DSP based testing, on-chip ADC, 20 MHz, mixed analogue-digital integrated circuits, Signal reconstruction, mixed signal IC
68Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
67David D. Ling, Chandu Visweswariah, Peter Feldmann, Soroush Abbaspour A moment-based effective characterization waveform for static timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing analysis, current source model
67Chang-Shing Lee, Mei-Hui Wang An Ontology-Based Intelligent Agent for Respiratory Waveform Classification. Search on Bibsonomy IEA/AIE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
67Emrah Acar, Florentin Dartu, Lawrence T. Pileggi TETA: transistor-level waveform evaluation for timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
61Amit Goel, Sarma B. K. Vrudhula Statistical waveform and current source based standard cell models for accurate timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical waveform models, process variations, timing analysis
59Petre Stoica, Jian Li 0001, Xumin Zhu Waveform Synthesis for Diversity-Based Transmit Beampattern Design. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
59Koichiro Noguchi, Makoto Nagata On-Chip Multi-Channel Waveform Monitoring for Diagnostics of Mixed-Signal VLSI Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
59Robert J. Bonneau A Rate Distortion Method for Waveform Design in RF Image Formation. Search on Bibsonomy AIPR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
59Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
59Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent Waveform Propagation for Static Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Naofumi Homma, Sei Nagashima, Yuichi Imai, Takafumi Aoki, Akashi Satoh High-Resolution Side-Channel Attack Using Phase-Based Waveform Matching. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cryptographic module, waveform matching, phase-only correlation, side-channel attacks, DPA, DEMA
52Sameh M. Sowelam, Ahmed H. Tewfik Optimal waveform selection for radar target classification. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF radar target recognition, radar target classification, optimal waveform selection, sequential experiment design, signal selection, radar waveforms, doubly-spread reflectivity function, decision time, discrimination information, echo signal, Kullback-Leibler information number, target environment, waveforms library, noise to clutter power, range-Doppler support, pulse transmissions, radar signal design, illumination, clutter, dissimilarity measure, detection performance
49Vladimir Zolotov, Jinjun Xiong, Soroush Abbaspour, David J. Hathaway, Chandu Visweswariah Compact modeling of variational waveforms. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Robert Bonneau A Rate Distortion Method for Beamforming in RF Image Formation. Search on Bibsonomy AIPR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Kishore Kumar Muchherla, Pinhong Chen, Dongsheng Ma, Janet Meiling Wang A noniterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF equivalent waveform, delay, noise, timing analysis, Deep sub micron
44Peng Zhang, Wai-Shing Luk, Yu Song, Jiarong Tong, Pushan Tang, Xuan Zeng 0001 WCOMP: Waveform Comparison Tool for Mixed-signal Validation Regression in Memory Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Flash memory design, WCOMP, waveform comparison tool, full-chip validation, design cost, automated mixed-signal validation regression, functional match, time-to-market
44Saeeid Tahmasbi Oskuii, Per Gunnar Kjeldsberg, Einar J. Aas Probabilistic gate-level power estimation using a novel waveform set method. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF probabilistic power estimation, probability waveform, transition density, combinational logic, gate-level
44Lisheng Xu, Kuanquan Zhang, David Zhang 0001, Shi Cheng Adaptive Baseline Wander Removal in the Pulse Waveform. Search on Bibsonomy CBMS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Meyer Wavelet, Pulse Diagnosis, Pulse Waveform, Baseline Wander, FIR Filter, Cubic Spline
44Lijian Li, Xiaoyang Yu, Cheng-Wen Wu, Yinghua Min A waveform simulator based on Boolean process. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF waveform simulator, high performance integrated circuits, Boolean functions, logic CAD, timing behavior, Boolean process
44P. J. van der Houwen, W. A. van der Veen Waveform relaxation methods for implicit differential equations. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implicit differential equations, waveform relaxation, parallelism, convergence, numerical analysis, Runge-Kutta methods
44Zhuxing Zhao, Zhongcheng Li, Yinghua Min Waveform Polynomial Manipulation Using Bdds. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF waveform polynomial manipulation, design verification and test, middle size circuits, complexity, data structure, high level synthesis, timing, logic design, combinational circuit, logic synthesis, binary decision diagram, directed acyclic graph, digital circuit, Boolean process
44Naveena Nagi, Abhijit Chatterjee, Ashok Balivada, Jacob A. Abraham Efficient multisine testing of analog circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform analysis, biquadratic filters, multisine testing, test waveform generation, test confidence, fault-based automatic test pattern generator, successive gradient method, sinusoidal signals, fault coverage maximization, biquadratic filter, AC testing, analog IC, fault diagnosis, built-in self test, integrated circuit testing, automatic testing, analog circuits, built-in test, analogue integrated circuits, linear analog circuits
43Tianqi Zhang, Zengshan Tian, Zhengzhong Zhou, Yujun Kuang A Neural Network Method for Blind Signature Waveform Estimation of Synchronous CDMA Signals. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Laurence Tianruo Yang The Waveform ICGS Technique for Parallel Transient Simulation of Semiconductor Devices. Search on Bibsonomy HPCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Xiao Lin 0001, Li Gang, Zhengguo Li, Chia Thien King, Yoh Ai Ling A Novel Prediction Scheme for Lossless Compression of Audio Waveform. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Laurence Tianruo Yang The Parallel Waveform IQMR Algorithm for Transient Simulation of Semiconductor Devices. Search on Bibsonomy ICPP Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
43Donald J. Erdman, Donald J. Rose Newton waveform relaxation techniques for tightly coupled systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
42Hakan Yalcin, John P. Hayes Event propagation conditions in circuit delay computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay computation, event propagation, propagation condition, waveform modeling, timing analysis, false path, path sensitization
42Dennis J. Ciplickas, Ronald A. Rohrer Expected current distributions for CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switching current, expected waveform, mean estimation, static analysis, statistical analysis, CMOS, autocorrelation, random processes, power spectral density
41Huiyu Wang, Qinyu Zhang 0001, Naitong Zhang, Xuejun Sha Pulse Shaping for UWB Communications in Dense Multipath. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Farid N. Najm, Ibrahim N. Hajj, Ping Yang 0001 An extension of probabilistic simulation for reliability analysis of CMOS VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
37Patrick Odent, Luc J. M. Claesen, Hugo De Man A combined waveform relaxation: waveform relaxation newton algorithm for efficient parallel circuit simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Mu-Shun Matt Lee, Chin-Hsun Lin, Chien-Nan Jimmy Liu, Shih-Che Lin Quick supply current waveform estimation at gate level using existed cell library information. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF current waveform estimation, gate-level
36C.-E. Rousseau Efficient waveform synthesis by harmonic components. Search on Bibsonomy Eng. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Waveform synthesis, Conjugate gradient, Harmonics
36Min Hu, Ken Jackson, Jan Janssen, Stefan Vandewalle Remarks on the optimal convolution kernel for CSOR waveform relaxation. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel ODE solvers, successive overrelaxation, waveform relaxation, iterative methods, convolution
36Haitao Guo, C. Sidney Burrus Waveform and Image Compression Using the Burrows Wheeler Transform and the Wavelet Transform. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF waveform compression, DWT coding, BWT coding, wavelet transform, image coding, image coding, image compression, discrete wavelet transform, text compression, Burrows Wheeler transform, concatenation
35Jian Li 0001, Luzhou Xu, Petre Stoica, Keith W. Forsythe, Daniel W. Bliss Range Compression and Waveform Optimization for MIMO Radar: A Cramér-Rao Bound Based Study. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Martin Hurtado, Tong Zhao, Arye Nehorai Adaptive Polarized Waveform Design for Target Tracking Based on Sequential Bayesian Inference. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Qiang Li, Yue-Ping Zhang Waveform Distortion and Performance of Impulse Radio with Realistic Antennas in Deterministic Multipath Channels. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Mathew Manju, A. Benjamin Premkumar, Chiew Tong Lau An Adaptive Waveform Generation Technique for Cognitive Radio. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Jingye Xu, Pervez Khaled, Masud H. Chowdhury Full waveform accuracy to estimate delay in coupled digital circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Jingye Xu, Pervez Khaled, Masud H. Chowdhury Fast bus waveform estimation at the presence of coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coupling noise, global interconnect
35Venkatesh Krishnan, Wasfy B. Mikhael A novel adaptive algorithm applied to a class of redundant representation vector quantizers for waveform and model based coding. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Russell Kao, Mark Horowitz Eliminating redundant DC equations for asymptotic waveform evaluation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Resve A. Saleh, Jacob K. White 0001 Accelerating relaxation algorithms for circuit simulation using waveform-Newton and step-size refinement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
34Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi Fast power estimation for deterministic input streams. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF accurate power waveforms, average power, deterministic input streams, fast power estimation, multi level simulation engine, realistic input stimuli, time domain power waveform, typical usage patterns, sequential circuits, combinational circuits, power consumption, power dissipation, digital systems, computational efficiency
33Sandeep Prasad Sira, Antonia Papandreou-Suppappola, Darryl Morrell Dynamic Configuration of Time-Varying Waveforms for Agile Sensing and Tracking in Clutter. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Srinivas Bodapati, Farid N. Najm High-level current macro model for logic blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Udaya Bhaskar, Kumar Swaminathan Low bit-rate voice compression based on frequency domain interpolative techniques. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Shahin Nazarian, Massoud Pedram Cell delay analysis based on rate-of-current change. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Rubo Zhang, Tian Wu, Xueyao Li, Dong Xu 0010 A Speech Stream Detection in Adverse Acoustic Environments Based on Cross Correlation Technique. Search on Bibsonomy ICNC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Radu Muresan, Catherine H. Gebotys Instantaneous current modeling in a complex VLIW processor core. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction-level current model, current and power measurement in a processor, instantaneous current model, power and energy model
33Bartomeu Alorda, Sebastià A. Bota, Jaume Segura 0001 A Non-Intrusive Built-In Sensor for Transient Current Testing of Digital VLSI Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Current based testing, built-in current monitors, high-speed measurements, transient current
33Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin Sensitivity-Based Gate Delay Propagation in Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Chung-Kuan Tsai, Malgorzata Marek-Sadowska Modeling Crosstalk Induced Delay. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Jason Cong, Cheng-Kok Koh, Patrick H. Madden Interconnect layout optimization under higher order RLC model forMCM designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Chih-Shun Ding, Chi-Ying Tsui, Massoud Pedram Gate-level power estimation using tagged probabilistic simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Jason Cong, David Zhigang Pan, Lei He 0001, Cheng-Kok Koh, Kei-Yong Khoo Interconnect design for deep submicron ICs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  BibTeX  RDF required-arrival-time Steiner tree higher-order moment signal delay and integrity
28Teruhisa Hochin, Yoshihiro Yamauchi, Hiroki Nomiya, Hideya Nakanishi, Mamoru Kojima Fast Subsequence Matching in Plasma Waveform Databases. Search on Bibsonomy IIH-MSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Plasma Waveform, Index, Time series, Retrieval
28Lu Wang, Dianzhong Wen BP Neural Network to Distinguish Pregnant Woman by Arterial Pressure Pulse Waveform Analysis. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF arterial pressure pulse waveform, pregnant woman, ZMC-?, BP neural network
28Fei Hu, Vishwani D. Agrawal Dual-transition glitch filtering in probabilistic waveform power estimation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-transition probability, dynamic power estimation, glitch filtering, probabilistic waveform simulation
26Juan F. Torres, Elliot Moore, Ernest Bryant A study of Glottal waveform features for deceptive speech classification. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26José A. Lopez-Salcedo, Gregori Vázquez Waveform-Independent Frame-Timing Acquisition for UWB Signals. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26José A. Lopez-Salcedo, Gregori Vázquez NDA Waveform Estimation in the Low-SNR Regime. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Sei Nagashima, Naofumi Homma, Yuichi Imai, Takafumi Aoki, Akashi Satoh DPA Using Phase-Based Waveform Matching against Random-Delay Countermeasure. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Boyuan Yan, Pu Liu, Sheldon X.-D. Tan, Bruce McGaughy Passive Modeling of Interconnects by Waveform Shaping. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Yaw-Jen Chang, Chi-Tim Chang, Jui-Ju Tsai Feature Extraction of Waveform Signals for Uncertain Dynamic Processes Using Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Evan J. Hughes Radar Waveform Optimisation as a Many-Objective Application Benchmark. Search on Bibsonomy EMO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Yang Yang 0011, Rick S. Blum Minimax Robust Waveform Design for MIMO Radar in the Presence of PSD Uncertainties. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jürgen Rauscher, Hans-Jörg Pfleiderer Sensitivity of a Power Supply Damping Method to Resistance and Current Waveform Variations. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Fumiaki Maehara, Satoshi Goto, Fumio Takahata Inter-symbol Interference Suppression Scheme using Periodic Signal Waveform for Fixed-rate COFDM Systems. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Goran Molnar, Mladen Vucic Design of IIR all-pass equalizers based on minimum of waveform distortion. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Yuji Kasai, Eiichi Takahashi, Masaya Iwata, Yosuke Iijima, Hidenori Sakanashi, Masahiro Murakawa, Tetsuya Higuchi Adaptive Waveform Control in a Data Transceiver for Multi-speed IEEE1394 and USB Communication. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Kishore Kumar Muchherla, Pinhong Chen, Janet Meiling Wang A non-iterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Zhong Wang, Jianwen Zhu Transistor-Level Static Timing Analysis by Piecewise Quadratic Waveform Matching. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Keiichi Tsuda, Akira Hirose Pitch-Asynchronous Overlap-Add Waveform-Concatenation Speech Synthesis by Using a Phase-Optimizing Neural Network. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Yuping Yan, Zemin Liu A new blind signature waveform estimation approach in antenna array CDMA systems. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Khurram Muhammad, Kaushik Roy 0001 Fault Detection and Location Using IDD Waveform Analysis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26José L. Pérez-Córdoba, Antonio J. Rubio, Juan M. López-Soler, M. Carmen Benítez Hard-Decision in COVQ over Waveform Channels. Search on Bibsonomy Data Compression Conference The full citation details ... 2000 DBLP  DOI  BibTeX  RDF COVQ, Gaussian channels, flat-fading Rayleigh channels, hard-decision, diversity techniques, PSK and QAM modulation
26Kazufumi Ozawa, Susumu Yamada Waveform Relaxation for Second Order Differential Equation y''=f(x, y). Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Benoit Dufort, Gordon W. Roberts Increasing the performance of arbitrary waveform generators using sigma-delta coding techniques. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Wen Fang, M. Ebrahim Mokari-Bolhassan, David Smart Robust VLSI circuit simulation techniques based on overlapped waveform relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Steven Paul McCormick, Jonathan Allen Waveform Moment Methods for Improved Interconnection Analysis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Weiwei Mao, Michael D. Ciletti A Simplified Six-waveform Type Method for Delay Fault Testing. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Naofumi Homma, Atsushi Miyamoto, Takafumi Aoki, Akashi Satoh, Adi Shamir Collision-Based Power Analysis of Modular Exponentiation Using Chosen-Message Pairs. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF waveform matching, RSA, side-channel attacks, modular exponentiation, power-analysis attacks
26Vikram Iyengar, Gary Grise, Mark Taylor 0001 A flexible and scalable methodology for GHz-speed structural test. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF asynchronous clock domains, deskewer, test waveform generator, ASICs, structural test, at-speed
26Li Yang, J. S. Yuan A decoupling technique for CMOS strong-coupled structures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fast-timing simulation, gate modeling, strong coupled components, waveform relaxation, decoupling, look-up table, feedback loop, hysteresis
26Stanislav Polonsky, Moyra K. McManus, Daniel R. Knebel, Steve Steen, Pia N. Sanda Non-invasive timing analysis of IBM G6 microprocessor L1 cache using picosecond imaging circuit analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF imaging circuit analysis, IBM G6 microprocessor, non-invasive backside timing, Picosecond Imaging Circuit Analysis, waveform extraction, integrated circuit testing, timing analysis, race condition, circuit switching, integrated memory circuits, hazards and race conditions, L1 cache
26Yucel Altunbasak Object-Scalable Mesh-Based Coding of Synthetic and Natural Image Objects. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF object-scalable mesh-based coding, natural image objects, synthetic image objects, object-based image coding, 2D mesh-based image sampling, quadrilateral mesh patches, square blocks, data/waveform coding methods, test images, image coding, experimental results, lossless coding, lossy coding, reconstruction quality, object boundaries
26Kazuyuki Ozaki, Hidenori Sekiguchi, Shinichi Wakana, Yoshiro Goto, Yasutoshi Umehara, Jun Matsumoto Novel Optical Probing System for Quarter-micron VLSI Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Internal analysis, Prober, Electro-optic Sampling, Scanning Force Microscope, waveform, DC voltage, VLSI Circuits
26Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting Metrology for analog module testing using analog testability bus. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog module, analog module testing, multiple instantiation, test response analysis, test waveform, testability bus, design for testability
Displaying result #1 - #100 of 4996 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license