The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DRC"( http://dblp.L3S.de/Venues/DRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/drc

Publication years (Num. hits)
2018 (117) 2019 (108) 2020 (46) 2021 (39) 2022 (56) 2023 (53)
Publication types (Num. hits)
inproceedings(413) proceedings(6)
Venues (Conferences, Journals, ...)
DRC(419)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 419 publication records. Showing 419 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yuan Xing, Feng Zhao Natural Organic Fructose-based Nonvolatile Resistive Switching Memory for Environmental Sustainability in Computing. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bhaskaran Muralidharan Quantum transport simulations for the next decade: Exploiting quantum topology in emerging 2D-devices. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shun'ichiro Ohmi, Masakazu Tanuma, Joong-Won Shin Precise VTH Control of MFSFET with 5 nm-thick FeND-HfO2 Realized by Kr-Plasma Sputtering for Pt Gate Electrode Deposition. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhongjie Ren, Hsien-Chih Huang, Hanwool Lee, Clarence Chan, Henry C. Roberts, Xihang Wu, Aadil Wassem, Wenjuan Zhu, Xiuling Li $\beta$-Ga2O3 FinFETs by MacEtch: temperature dependent I-V characteristics. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sumaiya Wahid, Lauren Hoang, Alwin Daus, Eric Pop Up to 100-fold Improvement of Threshold Voltage Stability in ITO Transistors. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yifan Yao, Hongjian Li, PanPan Li, Christian J. Zollner, Michael Wang, Michael Iza, James S. Speck, Steven P. DenBaars, Shuji Nakamura Size dependent characteristics of AlGaN-based ultraviolet micro-LEDs. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jeremiah Williams, Nolan S. Hendricks, Weisong Wang, Aaron Adams, Joshua Piel, Daniel Dryden, Kyle J. Liddy, Nicholas Sepelak, Bradley Morell, Adam Miesle, Ahmad Islam, Andrew J. Green Ni/TiO2/ $\beta$-Ga2O3 Heterojunction Diodes with NiO Guard Ring Simultaneously Increasing Breakdown Voltage and Reducing Turn-on Voltage. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sung Jin Yang, Yuqian Gu, Deji Akinwande Multifunctional Resistance Switching in Monolayer Hexagonal Boron Nitride Atomristor. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shivendra Singh Parihar, Simon Thomann, Girish Pahwa, Yogesh Singh Chauhan, Hussam Amrouch 5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Soundarya Nagarajan, Daniel Hiller, Ingmar Ratschinski, Joachim Knoch, Thomas Mikolajick, Jens Trommer Evaluation of Schottky barrier height at Silicide/Silicon interface of a Silicon Nanowire with Modulation Acceptor Doped Dielectric Shell. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shubham Mondal, Ding Wang, Jiangnan Liu, Yixin Xiao, Ping Wang, Zetian Mi ScAlN Based Ferroelectric Field Effect Transistors with ITO Channel. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Adam Miesle, A. E. Islam, E. Shin, G. Subramanyam, Kevin D. Leedy, S. Ganguli, Daniel Dryden, Kyle J. Liddy, Kelson D. Chabak, Andrew J. Green High breakdown electric field in $\text{Ba}_{\mathrm{x}}\text{Sr}_{1-\mathrm{x}}\text{TiO}_{3}/\text{SiO}_{2}$ dielectric stack formed on (010) $\beta$-Ga2O3 substrates. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Logan Whitaker, Brian Markman, Mark J. W. Rodwell Self-Aligned InGaAs Channel MOS-HEMTs for High Frequency Applications. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ashwani Kumar, Sai Sukruth Bezugam RRAM Based On-Sensor Visual Data Preprocessing for Efficient Image Classification. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kai Ni 0004, Yi Xiao, Shan Deng, Vijaykrishnan Narayanan Computational Associative Memory Powered by Ferroelectric Memory. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Emre Akso, Christopher Clymore, Wenjian Liu, Henry Collins, Brian Romanczyk, Weiyi Li, Nirupam Hatui, Christian Wurm, Stacia Keller, Matthew Guidry, Umesh K. Mishra Record 1 W output power from a single N-Polar GaN MISHEMT at 94 GHz. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nicholas C. Miller, Matt Grupen, John D. Albrecht Recent Advances in GaN HEMT Modeling using Fermi Kinetics Transport. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ankit Kumar, Arnab Pal, Kamyar Parto, Wei Cao, Kaustav Banerjee Exploration and Exploitation of Strain Engineering in 2D-FETs. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Cristian J. Herrera-Rodriguez, Atsushi Shimbori, Timothy A. Grotjohn $\alpha$-Ga2O3/Diamond Heterojunction PN Diode: Device Fabrication and TCAD Modelling. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Shankar, Z. Hao, M. Hatefipour, W. Strickland, T. Shaw, J. Shabani Josephson parametric amplifiers for rapid, high-fidelity measurement of solid-state qubits. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pradyot Yadav, Qingyun Xie, John Niroula, Gillian K. Micale, Hridibrata Pal, Tomás Palacios First Demonstration of GaN RF HEMTs on Engineered Substrate. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pengfei Dong, Chenlu Wang, Qinglong Yan, Yingming Wang, Jian Wang, Sami Alghamdi, Zhihong Liu, Jincheng Zhang, Hong Zhou, Yue Hao Ga2O3 Heterojunction PN Diodes with Suppressed Background Carrier Concentration for Improved Breakdown Voltage. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Paula Palacios, Eros Reato, Mohamed Saeed, Francisco Pasadas, Zhenxing Wang, Max C. Lemme, Renato Negra Fully Integrated Flexible RF Detectors in MoS2 and Graphene based MMIC. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sajal Islam, Aditha S. Senarath, Arijit Sengupta, En-xia Zhang, Dennis R. Ball, Daniel M. Fleetwood, Ronald D. Schrimpf, Esmat Farzana, Arkka Bhattacharyya, Nolan S. Hendricks, James S. Speck Single-Event Burnout by Cf-252 Irradiation in Vertical $\beta$-Ga2O3 Diodes with Pt and PtOx Schottky Contacts and High Permittivity Dielectric Field Plate. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Solomon Amsalu Chekol, Rainer Waser, Susanne Hoffmann-Eifert Controllability of Relaxation Behavior in Ag-based Diffusive Memristors. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Renjith Sasikumar, K. Lakshmi Ganapathi, Durgamadhab Misra, Revathy Padmanabhan Modeling of variability-aware memristive neural networks. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel M. Fleetwood Radiation Effects in AlGaN/GaN HEMTs and Ga2O3 Diodes. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sukhrob Abdulazhanov, Quang Huy Le, Dang Khoa Huynh, David Lehninger, Thomas Kämpfe, Gerald Gerlach Permittivity Characterization of Ferroelectric Thin-Film Hafnium Zirconium Oxide Varactors up to 170 GHz. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1F. Erdem Arkun, Dan Denninghoff, Haidang Tran, Ryan Tran, Nicholas C. Miller, Michael Elliott, Ryan Gilbert, Ivan Milosavljevic, Georges Siddiqi, Micha Fireman, Andrea L. Corrion, David Fanning, Christi Peterson, Ariel Getter, Andrew Clapper W-band fully passivated AlN/GaN HEMT device with 56% power-added efficiency and 780 mW/mm output power density at 94 GHz. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Suzanne Lancaster, Mattia Segatto, Cláudia Silva, Benjamin Max, Thomas Mikolajick, David Esseni, Francesco Driussi, Stefan Slesazeck Reducing the tunneling barrier thickness of bilayer ferroelectric tunnel junctions with metallic electrodes. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shamiul Alam, Adam N. McCaughan, Ahmedullah Aziz Reconfigurable Superconducting Logic Using Multi-Gate Switching of a Nano-Cryotron. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian-Sian Li, Chao-Ching Chiang, Xinyi Xia, Hsiao-Hsuan Wan, Fan Ren, Steve J. Pearton Reproducible and High-Temperature Performance of NiO/ $\beta$-Ga2O3 Vertical Rectifiers in Achieving 8.9 kV Breakdown. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 Device Research Conference, DRC 2023, Santa Barbara, CA, USA, June 25-28, 2023 Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Puneet Srivastava, David F. Brown, Louis Mt. Pleasant, Nicholas C. Miller, Michael Elliott, Ryan Gilbert, John R. Jones, Wenhua Zhu, Hong M. Lu, Douglas M. Dugas, Kanin Chu 90 nm GaN Technology for Millimeter-Wave Power Applications to W-Band and Beyond. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wenwen Li, Dong Ji Small Signal Analysis of GaN IMPATT Diodes for W-band and Sub-THz Wave Generation. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sijay Huang, Biswajit Ray Overcoming the low cell current bottleneck of 3D NAND flash memory array with novel device design. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Matthias Sinnwell, Michael Dammann, Rachid Driad, Sebastian Krause, Stefano Leone, Michael Mikulla, Rüdiger Quay Normally-off quasi-vertical GaN FinFET on SiC substrate with record small-signal current gain of $\mathrm{f}_{\mathrm{t}}=10.2$ GHz. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sebastian Lukas, Vikas Jangra, Nico Rademacher, Michael Gross, Eva Desgué, Maximilian Prechtl, Oliver Hartwig, Cormac Ó Coileáin, Tanja Stimpel-Lindner, Satender Kataria, Pierre Legagneux, Georg S. Duesberg, Max C. Lemme Freely Suspended Platinum Diselenide Membranes without Polymer Support for Piezoresistive Pressure Sensing. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lingyan Shen, Xinhong Cheng, Li Zheng, Yuehui Yu A Fin-p-GaN HEMT for High Threshold Voltage with Enhanced Stability. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ding Wang, Ping Wang, Minming He, Jiangnan Liu, Shubham Mondal, Mingtao Hu, Danhao Wang, Yuanpeng Wu, Tao Ma, Zetian Mi Fully Epitaxial, Reconfigurable Ferroelectric ScAlN/AlGaN/GaN HEMTs. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zheng Sun, Cindy Chen, Joshua A. Robinson, Zhihong Chen, Jörg Appenzeller A mobility study of monolayer MoS2 on low-κ/high-κ dielectrics. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tae Ryong Kim, Atanu K. Saha, Sumeet Kumar Gupta Analysis of Polarization Switching in HZO/ZrO2 (HZZ) Nanolaminates based on Sub-lattice Phase-field Model. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Ahmed, A. E. Islam, Daniel Dryden, Kyle J. Liddy, Nolan S. Hendricks, Neil A. Moser, Kelson D. Chabak, Andrew J. Green The $R_{\text{ON}}-V_{\text{BK}}$ Relationship in $\beta$-Ga2O3 Lateral MESFETs Determined Using Physics-Based TCAD Simulation. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Young-Eun Choi, Woo-Seok Kim, Myoung Kim, Min-Woo Ryu, Kyung Rok Kim Low Power and High Density Ternary-SRAM for Always-on Applications. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Umeshwarnath Surendranathan, Horace Wilson, Biswajit Ray Technology scaling effects on SRAM-PUF reliability under ionizing radiation. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu Duan, Andy Xie, Patrick Fay 1.7-kV Vertical GaN p-n Diodes with Step-Graded Ion-Implanted Edge Termination. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Marc Jaikissoon, Jung-Soo Ko, Eric Pop, Krishna C. Saraswat Local Back-Gate Monolayer MoS2 Transistors with Channel Lengths Down to 50 nm and EOT ∼ 1 nm Showing Improved $I_{\text{on}}$ using Post-Metal Anneal. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Olivier Maher, Nele Harnack, Giacomo Indiveri, Marilyne Sousa, Bernd Gotsmann, Siegfried F. Karg Solving optimization tasks power-efficiently exploiting VO2's phase-change properties with Oscillating Neural Networks. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yue Ma, Sigurd Wagner, Naveen Verma, James C. Sturm $f_{MAX}$ Exceeding 3 GHz in Self-Aligned Zinc-Oxide Thin-Film Transistors with Micron-Scale Gate Length. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Agata Piacentini, Dmitry K. Polyushkin, Burkay Uzlu, Annika Grundmann, Michael Heuken, Holger Kalisch, Andrei Vescan, Thomas Mueller, Max C. Lemme, Daniel Neumaier Flexible CMOS electronics based on 2D p-type WSe2 and n-type MoS2. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chunguang Wang, Jeffry Victor, Atanu K. Saha, X. Chen, M. Si, T. Sharma, K. Roy, Peide D. Ye, Sumeet Kumar Gupta FeFET-Based Synaptic Cross-Bar Arrays for Deep Neural Networks: Impact of Ferroelectric Thickness on Device-Circuit Non-Idealities and System Accuracy. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Woo-Seok Kim, Young-Eun Choi, Myoung Kim, Min Woo Ryu, Kyung Rok Kim Energy Efficient Ternary Device in 28-nm CMOS Technology with Excellent Short-Channel Effect Immunity and Variation Tolerance Characteristics. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1E. White, Ashwin Tunga, Nicholas C. Miller, Matt Grupen, John D. Albrecht, Shaloo Rakheja Large-Signal Modeling of GaN HEMTs using Fermi Kinetics and Commercial Hydrodynamics Transport. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Po Chun Chen, Peter M. Asbeck, Shadi A. Dayeh Free-Standing High Power GaN Multi-Fin Camel Diode Varactors. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tommaso Stecconi, Youri Popoff, Roberto Guido, Mattia Halter, Donato Francesco Falcone, Antonio La Porta, Folkert Horst, Laura Bégon-Lours, Marilyne Sousa, Bert J. Offrein, Valeria Bragaglia Equivalent electrical circuit modelling of a TaOx/HfOx based RRAM with optimized resistance window and multilevel states. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bhawani Shankar, Ke Zeng, Brendan Gunning, Rafael Perez Martinez, Chuanzhe Meng, Jack Flicker, Andrew Binder, Jeramy Ray Dickerson, Robert Kaplar, Srabanti Chowdhury Movement of Current Filaments and its Impact on Avalanche Robustness in Vertical GaN P-N diode Under UIS stress. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shamiul Alam, Md. Mazharul Islam 0006, Md. Shafayat Hossain, Kai Ni 0004, Vijaykrishnan Narayanan, Ahmedullah Aziz Cryogenic Memory Array based on Ferroelectric SQUID and Heater Cryotron. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chetan K. Dabhi, Girish Pahwa, Sayeef S. Salahuddin, Chenming Hu Compact Model for Trap Assisted Tunneling based GIDL. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Neel Chatterjee, Adam M. Weidling, Sarah L. Swisher Photonic Curing: Rapid Thermal Processing of Oxide Thin-film Transistors on Plastic. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jie Zhang, Yuping Zeng High-performance TiO2 thin film transistors using TiO2 as both channel and dielectric. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lauren Hoang, Alwin Daus, Sumaiya Wahid, Jimin Kwon, Jung-Soo Ko, Shengjun Qin, Mahnaz Islam, Krishna C. Saraswat, H.-S. Philip Wong, Eric Pop Bias Stress Stability of ITO Transistors and its Dependence on Dielectric Properties. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Thomas Mikolajick, Uwe Schroeder, Stefan Slesazeck Unleashing the Potential of Integrated Ferroelectric Devices with Hafnium Oxide. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joong-Won Shin, Masakazu Tanuma, J. Pyo, Shun'ichiro Ohmi Ultrathin Ferroelectric Nondoped HfO2 for MFSFET with High-speed and Low-voltage Operation. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shun'ichiro Ohmi, Akio Ihara, Masakazu Tanuma, Jooyoung Pyo, Joong-Won Shin MFSFET with Ferroelectric HfN for Analog Memory Application. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ahmad Islam, Adam Miesle, M. Dietz, Kevin D. Leedy, S. Ganguli, G. Subramanyam, Weisong Wang, Nicholas Sepelak, Daniel Dryden, Stephen E. Tetlak, Kyle J. Liddy, Andrew J. Green, Kelson D. Chabak Thermal stability of ALD-grown SiO2 and Al2O3 on (010) β-Ga2O3 substrates. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zheng Wang, Nujhat Tasneem, Hang Chen, Shimeng Yu, Winston Chern, Asif Islam Khan Improved Endurance with Electron-Only Switching in Ferroelectric Devices. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bill Zivasatienraj, W. Alan Doolittle An Experimentally Validated, Universal Memristor Model Enabling Temporal Neuromorphic Computation. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saikat Chakraborty, Jaydeep P. Kulkarni Buried-Channel Ferroelectric FET as Energy Efficient and Reliable 1T-NVM. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bohao Wu, Shaloo Rakheja Modeling of the Charge-Voltage Characteristics of AlScN/AlN/GaN Heterostructures. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Eungkyun Kim, Zexuan Zhang, Jashan Singhal, Kazuki Nomoto, Austin Hickman, Masato Toita, Debdeep Jena, Huili Grace Xing First demonstration of N-polar GaN/AlGaN/AlN HEMT on Single Crystal AlN Substrates. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Surila Guglani, Avirup Dasgupta, Ming-Yen Kao, Chenming Hu, Sourajeet Roy Artificial Neural Network Surrogate Models for Efficient Design Space Exploration of 14-nm FinFETs. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1S. S. Teja Nibhanupudi, Dmitry Veksler, Anupam Roy, Matthew Coupin, Kevin C. Matthews, Jamie Warner, Gennadi Bersuker, Jaydeep P. Kulkarni, Sanjay K. Banerjee Experimental demonstration of sub-nanosecond switching in 2D hexagonal Boron Nitride resistive memory devices. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md. Mazharul Islam 0006, Shamiul Alam, Nikhil Shukla, Ahmedullah Aziz Design Space Analysis of Superconducting Nanowire-based Cryogenic Oscillators. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shui-Qing Yu, Gregory Salamo, Wei Du, Baohua Li, Greg Sun, Richard A. Soref, Yong-Hang Zhang, Guo-En Chang SiGeSn Technology for All-Group-IV Photonics. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wenshen Li, Debdeep Jena, Huili Grace Xing A Composite TE-TFE-FE Model for Schottky Barrier Reverse Current over the Entire Electric-Field Range. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mir Muntasir Hossain, Pratyush Pandey, Akif Aabrar, Karla González-Serrano, Ted Moise, John Rodriguez, K. R. Udayakumar, Suman Datta, Alan C. Seabaugh Pulsed Current-Voltage Protocol to Reveal Polarization-Continuation in Ferroelectric Memory: Implications for Partial State Storage. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vishank Talesara, Yuxuan Zhang, Junao Cheng, Hongping Zhao, Wu Lu Breakdown Voltage Enhancement of GaN diodes with High-k Dielectric. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marc Jaikissoon, Jerry A. Yang, Kathryn M. Neilson, Eric Pop, Krishna C. Saraswat Mobility Enhancement of Monolayer MoS2 Transistors using Tensile-Stressed Silicon Nitride Capping Layers. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dennis Braun, Sebastian Lukas, Lukas Völkel, Oliver Hartwig, Maximilian Prechtl, Melkamu Belete, Satender Kataria, Thorsten Wahlbrink, Alwin Daus, Georg S. Duesberg, Max C. Lemme Non-Volatile Resistive Switching in PtSe2-Based Crosspoint Memristors. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rishab Mehra, S. S. Teja Nibhanupudi, Jaydeep P. Kulkarni Statistical Analysis of 2T1R Gain-Cell RRAM Bitcell for Area Efficient, High-Performance, and Reliable Multi-level Cell Operation. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shamiul Alam, Md. Mazharul Islam 0006, Md. Shafayat Hossain, Ahmedullah Aziz Superconducting Josephson Junction FET-based Cryogenic Voltage Sense Amplifier. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Saikat Chakraborty, Jaydeep P. Kulkarni Cryo-TRAM: Gated Thyristor based Capacitor-less DRAM for Cryogenic Computing. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sumaiya Wahid, Alwin Daus, Jimin Kwon, Shengjun Qin, Jung-Soo Ko, Krishna C. Saraswat, H.-S. Philip Wong, Eric Pop First Demonstration of Top-Gated ITO Transistors: Effect of Channel Passivation. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kexin Li, Takashi Matsuda, Eiji Yagyu, Koon Hoo Teo, Shaloo Rakheja Trapping Phenomena in GaN HEMTs with Fe- and C-doped Buffer. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ping Wang, Ding Wang, Shubham Mondal, Zetian Mi Fully Epitaxial Ferroelectric III-Nitride Semiconductors: From Materials to Devices. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shivendra Singh Parihar, Jun Z. Huang, Weike Wang, Kimihiko Imura, Yogesh Singh Chauhan Self-Heating characterization and modeling of 5nm technology node FinFETs. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ying-Chen Chen, Justin Stouffer, Favian Villanueva, Jordan Beverly Ambient Effects on Reprogrammable Read-only Selector-free Memory for the Embedded NVM Applications. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jeevesh Kumar, Mayank Shrivastava Are Argon and Nitrogen Gases Really Inert to Graphene Devices? Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tomohisa Miyao, Takahisa Tanaka, Itsuki Imanishi, Masayuki Ichikawa, Shuya Nakagawa, Hiroki Ishikuro, Toshitsugu Sakamoto, Munehiro Tada, Ken Uchida Enhanced Drain Current in Transient Mode due to Long Ionization Time of Shallow Impurities at 4 K in 65-nm bulk Cryo CMOS Transistors. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Susanne Hoffmann-Eifert Nanoscale HfO2-based memristive devices for neuromorphic computing. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sanghyeon Kim, Jinha Lim, Joonsup Shim, Dae-Myeong Geum Ge-based Mid-infrared integrated photonics platform for Sensing. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chandan Joishi, Nidhin Kurian Kalarickal, Wahidur Rahman, Wu Lu, Siddharth Rajan Ultra-Wide Bandgap Semiconductor Transistors for mm-wave Applications. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 Device Research Conference, DRC 2022, Columbus, OH, USA, June 26-29, 2022 Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zijing Zhao 0006, Kai Xu, Jialun Liu, Wei Jiang, Hojoon Ryu, Shaloo Rakheja, Tony Low, Wenjuan Zhu Nanoscale Devices Based on Two-dimensional and Ferroelectric Materials. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Asmita S. Thool, Sourodeep Roy, Abhishek Misra, Bhaswar Chakrabarti Controllable Defect Engineering in 2D-MoS2 for high-performance, threshold switching memristive devices. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Giulio Galderisi, Thomas Mikolajick, Jens Trommer Robust Reconfigurable Field Effect Transistors Process Route Enabling Multi-VT Devices Fabrication for Hardware Security Applications. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1James Spencer Lundh, Hannah N. Masten, Kohei Sasaki, Alan G. Jacobs, Zhe Cheng, Joseph Spencer, Lei Chen, James C. Gallagher, Andrew D. Koehler, Keita Konishi, Samuel Graham, Akito Kuramata, Karl D. Hobart, Marko J. Tadjer AlN-capped P-(AlxGal-x)2O3/Ga2O3 heterostructure field-effect transistors for near-junction thermal management of next generation power devices. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nour Negm, Sarah Zayouna, Shayan Parhizkar, Pen-Sheng Lin, Po-Han Huang, Stephan Suckow, Stephan Schröder, Eleonora De Luca, Floria Ottonello Briano, Arne Quellmalz, Frank Niklaus, Kristinn B. Gylfason, Max C. Lemme Graphene waveguide-integrated thermal infrared emitter. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Adam Charnas, Jackson Anderson, Jie Zhang, Dongqi Zheng, Dana Weinstein, Peide D. Ye Record RF Performance of Ultra-thin Indium Oxide Transistors with Buried-gate Structure. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Philip G. Neudeck, David J. Spry, Michael J. Krasowski, Liangyu Chen The Path Towards Realistic ASIC Electronics Deployment Into Previously Impractical Extreme Application Environments. Search on Bibsonomy DRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 419 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license