The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sahibia Kaur Vohra, Sherin A. Thomas, Mahendra Sakare, Devarshi Mrinal Das Circuit implementation of on-chip trainable spiking neural network using CMOS based memristive STDP synapses and LIF neurons. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jie Zhang 0097, Jiangang Zuo, Yan Guo, Jinyou Hou, Qinggang Xie Nonlinear analysis, circuit implementation, and application in image encryption of a four-dimensional multi-scroll hyper-chaotic system. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Prakash Kumar Rout, Debiprasad Priyabrata Acharya, Debasish Nayak, Umakanta Nanda Design of robust analog integrated circuit based on process corner performance variability minimization. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1David Arnaiz, Francesc Moll, Eduard Alarcón, Xavier Vilajosana Energy and relevance-aware adaptive monitoring method for wireless sensor nodes with hard energy constraints. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Liang Yang, Qiang Lai Construction and implementation of discrete memristive hyperchaotic map with hidden attractors and self-excited attractors. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Saurabh Kumar, Yatendra Kumar Singh A low-jitter and low-phase noise switched-loop filter PLL using fast phase-error correction and dual-edge phase comparison technique. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Nikolaos Charalampidis, Apostolos Iatropoulos, Christos K. Volos Chaos based speech encryption using microcontroller. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Rezaul Haque, Siraj Fulum Mossa On-chip charge pump design for 3D non-volatile flash memory: from industry perspective. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Saeed Ullah, Xinge Liu, Adil Waheed, Shuailei Zhang An efficient construction of S-box based on the fractional-order Rabinovich-Fabrikant chaotic system. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yongchao Li, Jingya Feng, Qi Zhao, Yongzhuang Wei HDLBC: A lightweight block cipher with high diffusion. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Jani Babu Shaik, Siona Menezes Picardo, Sonal Singhal, Nilesh Goel Reliability-aware design of Integrate-and-Fire silicon neurons. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Alexandre B. Z. de França, Fernanda D. V. R. Oliveira, José Gabriel R. C. Gomes, Nadia Nedjah Hardware designs for convolutional neural networks: Memoryful, memoryless and cached. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Dilip Kumar Maity, Surajit Kumar Roy, Chandan Giri Built-in Self-prevention (BISP) for runtime ageing effects of TSVs in 3D ICs. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Vasiliki Gogolou, Savvas Karipidis, Thomas Noulis, Stylianos Siskos A frequency boosting technique for cold-start charge pump units. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Shaohui Yan, Bian Zheng, Jianjian Wang, Yu Cui, Lin Li, Jiawei Jiang A new three-dimensional conservative system with non - Hamiltonian energy and its synchronization application. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Lalit Bandil, Bal Chand Nagar Modified restoring array-based power efficient approximate square root circuit and its application. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yuqiang Cui, Weiwei Shan, Peng Cao 0002 Ultra-low-power one-hot transmission-gate multiplexer (OTG-MUX) scalable into large fan-in circuits in 28 nm CMOS. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Bahram Rashidi Efficient and low-cost approximate multipliers for image processing applications. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Zijun Li 0005, Ziran Zhu, Huan He, Jianli Chen An effective routability-driven packing algorithm for large-scale heterogeneous FPGAs. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Viet-Thanh Pham, Andrei Velichko, Van Van Huynh, Antonio Vincenzo Radogna, Giuseppe Grassi, Salah Mahmoud Boulaaras, Shaher Momani Analysis of memristive maps with asymmetry. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Lazaros Laskaridis, Christos K. Volos, Hector E. Nistazakis, Efthymia Meletlidou Exploring the dynamics of a multistable general model of discrete memristor-based map featuring an exponentially varying memristance. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Radha N, Maheswari M An optimal channel coding scheme for high-speed data communication. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Wei Xiao, Fazhan Zhao, Kun Zhao, Hongtu Ma, Qing Li TA-denseNet: Efficient hardware trust and assurance model based on feature extraction and comparison of SEM images and GDSII images. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Xuhui Wang, Jun Cheng, Fan Chang, Lei Zhu, Han Chang, Kuizhi Mei A bandwidth enhancement method of VTA based on paralleled memory access design. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Hemanshi Chugh, Sonal Singh Efficient co-planar adder designs in quantum dot cellular automata: Energy and cost optimization with crossover elimination. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yihong Gong, Qibin Chen, Ruiyong Tu, Sini Wu, Jin Xie, Qiyan Sun, Jing-Hu Li, Zhicong Luo A 10-Gb/s low-power inverter-based optical receiver front-end in 0.13-μm CMOS process. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Rahul Chaurasia, Anirban Sengupta Multi-cut based architectural obfuscation and handprint biometric signature for securing transient fault detectable IP cores during HLS. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Srikant Kumar Beura, Sudeshna Manjari Mahanta, Bishnulatpam Pushpa Devi, Prabir Saha Inexact radix-4 Booth multipliers based on new partial product generation scheme for image multiplication. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Chaudhry Indra Kumar, Abhishek Chaudhary, Shreyansh Upadhyaya Design of high performance energy efficient CMOS voltage level shifter for mixed signal circuits applications. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Payal Shah, Satvik Sawant, Reena Sonkusare, Surendra S. Rathod Modelling and design of asynchronous receptive circuit for cone pathways. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Muhammad Umair Safdar, Tariq Shah, Asif Ali, Tanveer ul Haq Construction of algebraic complex 9-bit lookup tables using non-chain-ring and its applications in data security. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Yu Chen 0001, Yongjian Xu, Ning Xu Scalable layout decomposition implemented by a distribution evolutionary algorithm. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Aakriti Chhabra, Bhawna Aggarwal, Raj Senani A low-voltage MOS translinear loop, biased using FVF and its applications in realizing square-rooter and squarer circuits. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Sriram Sundar S, Mahendran G CMOS full adder cells based on modified full swing restored complementary pass transistor logic for energy efficient high speed arithmetic applications. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Weiwei Yu, Xiao Zhao, Liyuan Dong, Lanya Yu A high current efficiency multipath nested feedforward compensation technique for two-stage amplifier. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Darío G. Lema, Rubén Usamentiaga, Daniel F. García Quantitative comparison and performance evaluation of deep learning-based object detection models on edge computing devices. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Maoyuan Qin, Jiacheng Zhu, Baolei Mao, Wei Hu 0008 Hardware/software security co-verification and vulnerability detection: An information flow perspective. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Firat Artuger, Fatih Özkaynak A new post-processing approach for improvement of nonlinearity property in substitution boxes. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Mahabub Hasan Mahalat, Shyam Subba, Anindan Mondal, Biplab K. Sikdar, Rajat Subhra Chakraborty, Bibhash Sen CAPUF: Design of a configurable circular arbiter PUF with enhanced security and hardware efficiency. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Zhi-Guo Yu, Xiao-Yu Zhong, Xiao-Jie Ma, Xiaofeng Gu W-IQ: Wither-logic based issue queue for RISC-V superscalar out-of-order processor. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
1Qian Chen, Yuyang Ye, Meng Li, Hao Yan 0002, Longxing Shi Optimized matrix ordering of sparse linear solver using a few-shot model for circuit simulation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Isaac Campos-Cantón, Carlos Soubervielle-Montalvo, Roberto C. Martinez-Montejano Lorenz system as a filter. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Diego Armando Trujillo-Toledo, Oscar Roberto López-Bonilla, Enrique Efrén García-Guerrero, José Jaime Esqueda-Elizondo, José Ricardo Cárdenas-Valdez, Ulises Jesús Tamayo-Pérez, Oscar Adrian Aguirre-Castro, Everardo Inzunza-González Real-time medical image encryption for H-IoT applications using improved sequences from chaotic maps. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Trik, Hoda Akhavan, Amir-Massoud Bidgoli, Ali Mohammad Norouzzadeh Gil Molk, Hossein Vashani, Saadat Pour Mozaffari A new adaptive selection strategy for reducing latency in networks on chip. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sirous Farsiani, Amir M. Sodagar Compact agile Tchebycheff transform variant for temporal compression of neural signals on brain-implantable microsystems. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuo Cai, Caicai Xie, Yan Wen, Weizheng Wang, Fei Yu 0009, Lairong Yin Four-input-C-element-based multiple-node-upset-self-recoverable latch designs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammed Salman Ahmed 0002, Md. Kalesha, Andleeb Zahra, Zia Abbas Approximate Toom-Cook FFT with sparsity aware error tuning in a shared memory architecture. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kaluri Praveen Raja, Zeesha Mishra, Pulkit Singh, Bibhudendra Acharya Efficient hardware implementations of lightweight Simeck Cipher for resource-constrained applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuntao Liu, Shuo Fang, Lijing Wang, Yun Wang An ultra-low power dissipation CMOS temperature sensor with an inaccuracy of ±0.15 °C (3δ) from -40 °C to 125 °C. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Salma Khan, Syed Azeemuddin, Mohammed Arifuddin Sohel ProHys PUF: A Proteresis - Hysteresis switch based Physical Unclonable Function. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shelja Kaushal, Ashwani K. Rana Reliable and low power Negative Capacitance Junctionless FinFET based 6T SRAM cell. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Efstratios Zacharelos, Italo Nunziata, Gerardo Saggese, Antonio G. M. Strollo, Ettore Napoli Approximate squaring circuits exploiting recursive architectures. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hiromitsu Awano, Masanori Hashimoto B2N2: Resource efficient Bayesian neural network accelerator using Bernoulli sampler on FPGA. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kapil Bhardwaj, Mayank Srivastava VDTA and DO-CCII based incremental/decremental floating memductance/meminductance simulator: A novel realization. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Abdullatif Baba, Talal Bonny FPGA-based parallel implementation to classify Hyperspectral images by using a Convolutional Neural Network. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qing Zhang 0008, Yuhang Zhang, Jizuo Li, Yongfu Li 0002 Corrigendum to "WDP-BNN: Efficient wafer defect pattern classification via binarized neural network" [Integration 85 (2022) 76-86]. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinwei Zhang, Sheriff Sadiqbatcha, Liang Chen 0025, Cuong Thi, Sachin Sachdeva, Hussam Amrouch, Sheldon X.-D. Tan Hot-spot aware thermoelectric array based cooling for multicore processors. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jyoti Kandpal, Tika Ram Pokhrel, Shalu Saini, Alak Majumder A variation resilient keeper design for high performance domino logic applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ankur Gogoi, Bibhas Ghoshal, Kanchan Manna Fault-aware routing approach for mesh-based Network-on-Chip architecture. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vasiliki Gogolou, Konstantinos Kozalakis, Thomas Noulis, Stylianos Siskos Integrated DC - DC converter design methodology for design cycle speed up. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shaohui Yan, Lin Li, Binxian Gu, Yu Cui, Jianjian Wang, Jincai Song Design of hyperchaotic system based on multi-scroll and its encryption algorithm in color image. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Oscar Martinez-Fuentes, Aldo-Jonathan Muñoz-Vázquez, Guillermo Fernández-Anaya, Esteban Tlelo-Cuautle Synchronization of fractional-order chaotic networks in Presnov form via homogeneous controllers. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mustafa Ghanim, Ozgur Tasdizen, H. Fatih Ugurdag, Ilker Hamzaoglu An efficient algorithm for disparity map compression based on spatial correlations and its low-cost hardware architecture. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohamed Amine Benchana, Abderrezak Khalfallaoui, Somia Taba, Abdesselam Babouri, Zouheir Riah A hybrid equivalent source - particle swarm optimization model for accurate near-field to far-field conversion. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohamed El-Hadedy 0001, Xinfei Guo, Kazutomo Yoshii, Yichen Cai, Robert Herndon, Bryan Banta, Wen-Mei Hwu RECO-ASCON: Reconfigurable ASCON hash functions for IoT applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mehrnoosh Vafaei, M. R. Hosseini, Ebrahim Abiri, Mohammad Reza Salehi A 0.2-V 1.2 nW 1-KS/s SAR ADC with a novel comparator structure for biomedical applications. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Luis Gerardo de la Fraga, Brisbane Ovilla-Martinez A chaotic PRNG tested with the heuristic Differential Evolution. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andres Ayes, Eby G. Friedman Linear Clock Tree Topology for Dynamic Source Synchronous and Fully Synchronous 3-D Interfaces. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Olympia Axelou, George Floros 0002, Nestor E. Evmorfopoulos, George I. Stamoulis Fast electromigration stress analysis using Low-Rank Balanced Truncation for general interconnect and power grid structures. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Navnit Kumar, Manjeet Kumar, Neeta Pandey Electronically tunable positive and negative fractional order inductor circuit using single topology. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Harun Emre Kiran, Akif Akgul, Oktay Yildiz, Emre Deniz Lightweight encryption mechanism with discrete-time chaotic maps for Internet of Robotic Things. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongyu Xu, Yiming Ouyang, Wu Zhou, Huaguo Liang Improving power and performance of on-chip network through virtual channel sharing and power gating. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Miguel Ángel Murillo-Escobar, Rosa Martha López-Gutiérrez, César Cruz-Hernández, Erick Enrique Espinoza-Peralta, Daniel Murillo-Escobar Secure access microcontroller system based on fingerprint template with hyperchaotic encryption. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liqiang Ding, Xiaowu Cai, Mali Gao, Ruirui Xia, Yuexin Gao A high reliability under-voltage lock out circuit for power driver IC. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chua-Chin Wang, Lean Karlo S. Tolentino, Shao-Wei Lu, Oliver Lexter July A. Jose, Ralph Gerard B. Sangalang, Tzung-Je Lee, Pang-Yen Lou, Wei-Chih Chang A 2xVDD digital output buffer with gate driving stability and non-overlapping signaling control for slew-rate auto-adjustment using 16-nm FinFET CMOS process. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ömer Yusuf Muhikanci, Kemal Ozanoglu, Engin Afacan, Mustafa Berke Yelten, Günhan Dündar Radiation-aware analog circuit design via fully-automated simulation environment. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Satyabrata Sarangi, Bevan M. Baas Energy-efficient canonical Huffman decoders on many-core processor arrays and FPGAs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Milad Mehri A circuit level analysis of power distribution network on a PCB layout exposed to intentional/unintentional electromagnetic threats. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruiming Xu, Zhongjie Guo, Ningmei Yu, Suiyang Liu A high-speed 13-bit two-step single-slope ADC for large array CMOS image sensors. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sourav Naskar Complete design approach of a 3rd order continuous-time sigma-delta ADC with FIR feedback and low-noise low-distortion op-amp achieving 101.8 dB SNDR and -110dB THD. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pingakshya Goswami, Benjamin Carrión Schäfer, Dinesh Bhatia Machine learning based fast and accurate High Level Synthesis design space exploration: From graph to synthesis. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Annu Dabas, Shweta Kumari, Maneesha Gupta, Richa Yadav Design and analysis of DTMOS based RFC with controlled positive feedback OTA using HSCCM and adaptive biasing technique. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Promise I. Enwere, Encarnación Cervantes-Requena, Luis A. Camuñas-Mesa, José M. de la Rosa 0001 Using ANNs to predict the evolution of spectrum occupancy in cognitive-radio systems. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sagarika Chowdhury, Ritwika Majumdar, Rajat Kumar Pal, Goutam Saha 0002 Automated path selection technique while incorporating multiple assay operations and cross-contamination avoidance in cross-referencing DMFBs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhuo Chen, Yuxuan Du, Boyang Cheng, Weiwei Shan Design of high-efficiency complex multiplier for fault-tolerant computation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1E. S. Selvapriya, L. Suganthi Design and implementation of low power Advanced Encryption Standard cryptocore utilizing dynamic pipelined asynchronous model. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Deepthi Amuru, Andleeb Zahra, Harsha V. Vudumula, Pavan K. Cherupally, Sushanth R. Gurram, Amir Ahmad, Zia Abbas AI/ML algorithms and applications in VLSI design and technology. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Llamocca Fixed-point implementations for feed-forward artificial neural networks. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Riyaz Ahmad, Narendra Choudhary, Sujeet Kumar Gupta, Amit Mahesh Joshi, Dharmendar Boolchandani Novel tunable current feedback instrumentation amplifier based on BBFC OP-AMP for biomedical applications with low power and high CMRR. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alessandro Tontini, Leonardo Gasparini, Enrico Manuzzato, Matteo Perenzoni, Roberto Passerone Comparative evaluation of background-rejection techniques for SPAD-based LiDAR systems. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fatma Nur Esirci, Alp Arslan Bayrakci Delay based hardware Trojan detection exploiting spatial correlations to suppress variations. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zeng-Jun Xin, Qiang Lai A novel one-equilibrium memristive chaotic system with multi-parameter amplitude modulation and large-scale offset boosting. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chenkai Zhang, Baoxiang Du A fast piecewise image encryption scheme combining NC1DNSM and P-Box. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hui Xu, Jing Zhou, Ruijun Ma, Huaguo Liang, Zhengfeng Huang, Chaoming Liu LQNTL: Low-overhead quadruple-node-upset self-recovery latch based on triple-mode redundancy. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Manal Messadi, Karim Kemih, Lazaros Moysis, Christos K. Volos A new 4D Memristor chaotic system: Analysis and implementation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Zihan Zhang, Yongshuai Sun, Pengyu Liu, Liyan Chen, Qin Wang 0009, Jianfei Jiang 0001 Exploiting bit sparsity in both activation and weight in neural networks accelerators. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shatadal Chatterjee, Sounak Roy A low power offset voltage calibration method for flash ADCs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Melvin T. Balakrishnan, T. G. Venkatesh 0001, A. Vijaya Bhaskar Design and implementation of congestion aware router for network-on-chip. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andrea Costamagna, Giovanni De Micheli Accuracy recovery: A decomposition procedure for the synthesis of partially-specified Boolean functions. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sweta Bijali Maity, Raj Kumar Maity, Jagannath Samanta, Chanchal Kumar De Comments on "New low power and fast SEC-DAEC and SEC-DAEC-TAEC codes for memories in space application". Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1972 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license