The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Jean-Sébastien Coron, Paul C. Kocher, David Naccache Statistics and Secret Leakage. Search on Bibsonomy Financial Cryptography The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Alireza Vahdatpour, Miodrag Potkonjak Leakage minimization using self sensing and thermal management. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay, thermal management, leakage energy
27Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Aging effects of leakage optimizations for caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF memory hierarchy, aging, leakage reduction
27Simone Medardoni, Davide Bertozzi, Enrico Macii Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL synthesis, leakage-aware, power management, selection strategy
27Sachin S. Sapatnekar Book Reviews: Plumbing the Depths of Leakage. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer CMOS technology, leakage
27Govind Kabra, Ravishankar Ramamurthy, S. Sudarshan 0001 Redundancy and information leakage in fine-grained access control. Search on Bibsonomy SIGMOD Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF query optimization, redundancy, information leakage, fine-grained access control
27Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling capacitor planning and sizing for noise and leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction
27Jian-Jia Chen, Heng-Ruey Hsu, Tei-Wei Kuo Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Leakage-aware scheduling, Real-time and embedded systems and Task partitioning
27Hari Ananthan, Kaushik Roy 0001 A fully physical model for leakage distribution under process variations in Nanoscale double-gate CMOS. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage distribution, multiple-gate, tri-gate, process variations, finFET, double-gate
27Philippe Royannez, Hugh Mair, Franck Dahan, Mike Wagner, Mark Streeter, Laurent Bouetel, Joel Blasquez, H. Clasen, G. Semino, Julie Dong, D. Scott, B. Pitts, Claudine Raibaut, Uming Ko A design platform for 90-nm leakage reduction techniques. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power management, wireless application processor, SoC design
27Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
27Phillip Chin, Charles A. Zukowski, George Gristede, Stephen V. Kosonocky Characterization of logic circuit techniques for high leakage CMOS technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF monotonic logic, low power, leakage current
27Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark Managing leakage for transient data: decay and quasi-static 4T memory cells. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 4T, decay, memory cell, quasi-static, transient data, leakage power
27Subir K. Roy, Hiroaki Iwashita, Tsuneo Nakata Dataflow Analysis for Resource Contention and Register Leakage Properties. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register Leakage, Simulation, Formal Verification, Resource Contention
24Kyeong-Sik Min, Hun-Dae Choi, H.-Y. Choi, Hiroshi Kawaguchi 0001, Takayasu Sakurai Leakage-suppressed clock-gating circuit with Zigzag Super Cut-off CMOS (ZSCCMOS) for leakage-dominant sub-70-nm and sub-1-V-VDD LSIs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jin Tian, Yang Qiu 0005, Ankun Lin Generalized Analysis Model of Information Security of Computer System Based on Electromagnetic Topology. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Meikang Qiu, Laurence Tianruo Yang, Edwin Hsing-Mean Sha Rotation Scheduling and Voltage Assignment to Minimize Energy for SoC. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Ehsan Pakbaznia, Massoud Pedram Design and application of multimodal power gating structures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Body Bias Voltage Computations for Process and Temperature Compensation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Zhiyu Liu, Volkan Kursun Characterization of a Novel Nine-Transistor SRAM Cell. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino A Scalable Algorithmic Framework for Row-Based Power-Gating. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Deepa Kannan, Aviral Shrivastava, Vipin Mohan, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Temperature and Process Variations Aware Power Gating of Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FD/SOI, low-power, stability, SRAM
24Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002, Shih-Lien Lu Improving the reliability of on-chip data caches under process variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Anish Muttreja, Niket Agarwal, Niraj K. Jha CMOS logic design with independent-gate FinFETs. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie 0001, Narayanan Vijaykrishnan FPGA routing architecture analysis under variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Tamer Cakici, Keejong Kim, Kaushik Roy 0001 FinFET Based SRAM Design for Low Standby Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Maryam Ashouei, Muhammad Mudassar Nisar, Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril Probabilistic Self-Adaptation of Nanoscale CMOS Circuits: Yield Maximization under Increased Intra-Die Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sarma B. K. Vrudhula, Sarvesh Bhardwaj Tutorial T6: Robust Design of Nanoscale Circuits in the Presence of Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yuan-Pei Lin, See-May Phoong Window designs for DFT-based multicarrier systems. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Jürgen Fischer, Philip Teichmann, Doris Schmitt-Landsiedel Scaling trends in adiabatic logic. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, energy recovery, adiabatic computing
24Pedro Chaparro, José González 0002, Antonio González 0001 Thermal-Aware Clustered Microarchitectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Debasis Samanta, Ajit Pal Synthesis of Low Power High Performance Dual-VT PTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Mohab Anis, Shawki Areibi, Mohamed I. Elmasry Design and optimization of multithreshold CMOS (MTCMOS) circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Fei Li 0003, Lei He 0001, Joseph M. Basile, Rakesh J. Patel, Hema Ramamurthy High Level Area and Current Estimation. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Arman Vassighi, Oleg Semenov, Manoj Sachdev, Ali Keshavarzi Effect of Static Power Dissipation in Burn-In Environment on Yield of VLSI. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
24Kagan Irez, Jiaping Hu, Charles A. Zukowski Characteristics of MS-CMOS logic in sub-32nm technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF MSCMOS, gate leakage reduction, hs&ls, logic overhead, upsizing, noise margin, input vector, domino, downsizing
24Amit Golander, Shlomo Weiss Checkpoint allocation and release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF early register release, misprediction, Checkpoint, leakage, out-of-order execution, rollback
24Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
24Sudhanshu Khanna, Benton H. Calhoun Serial sub-threshold circuits for ultra-low-power systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bit width, serial systems, leakage, ultra low power, sub-threshold
24Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud Dual-threshold pass-transistor logic design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual threshold, pass transistor, low power, leakage
24Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli NoC topology synthesis for supporting shutdown of voltage islands in SoCs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF shutdown, topology, NoC, leakage power, voltage islands
24Ilaria Venturini Oracle Channels. Search on Bibsonomy Trans. Data Hiding Multim. Secur. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Oracle attacks, Secure watermarking, Information hiding, Covert channels, Information leakage, Subliminal channels, Covert communications
24Roberto Giorgi, Paolo Bennati Filtering drowsy instruction cache to achieve better efficiency. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power, leakage, drowsy cache, filter cache
24Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman Full Open Defects in Nanometric CMOS. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect open, gate leakage current, CMOS
24Colin D. Walter Recovering Secret Keys from Weak Side Channel Traces of Differing Lengths. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hidden Markov Models, simple power analysis, SPA, Viterbi Algorithm, Forward-Backward Algorithm, Side channel leakage
24Yasuhiro Fujii, Ryu Ebisawa, Yumiko Togashi, Takaaki Yamada, Yoshinori Honda, Seiichi Susaki Third-party approach to controlling digital copiers. Search on Bibsonomy iiWAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF digital copiers, information leakage, paper documents
24Seungwhun Paik, Youngsoo Shin Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sleep vector, zigzag power gating, low power, leakage current, standard-cell
24Siddharth Garg, Diana Marculescu On the impact of manufacturing process variations on the lifetime of sensor networks. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage power variability, manufacturing process variations, sensor networks, lifetime
24Colin D. Walter Longer Randomly Blinded RSA Keys May Be Weaker Than Shorter Ones. Search on Bibsonomy WISA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RSA, DPA, power analysis, SPA, Side channel leakage
24Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Timing-driven row-based power gating. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
24Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti Throughput of multi-core processors under thermal constraints. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, throughput, power, speedup, thermal management, multi-core processors
24Hamid Noori, Maziar Goudarzi, Koji Inoue, Kazuaki J. Murakami The effect of temperature on cache size tuning for low energy embedded systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, cache memory, low energy, leakage current, temperature-aware design
24Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nonlinear Programming Problem (NLPP), daptive Body Bias (ABB), temperature variations, delay, process variations, leakage, enumeration
24Mohammad Sharifkhani, Manoj Sachdev A low power SRAM architecture based on segmented virtual grounding. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF static-random access memory, write power reduction, low-power, SRAM, leakage reduction
24Bo Fu, Qiaoyan Yu, Paul Ampadu Energy-delay minimization in nanoscale domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay, energy, leakage, low voltage, domino
24Ali Bastani, Charles A. Zukowski Characterization of monotonic static CMOS gates in a 65nm technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gate leakage reduction, low power design
24A. Madan, S. C. Bose, P. J. George, Chandra Shekhar 0001 Evaluation of Device Parameters of HfO2/SiO2/Si Gate Dielectric Stack for MOSFETs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Direct Tunneling, gate leakage current, high-K gate stack, MOSFETs
24Ravindra Jejurikar, Rajesh K. Gupta 0001 Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF critical speed, dynamic slack reclamation, task procrastication, real-time systems, leakage power, low power scheduling
24Jin Hong 0001, Dong Hoon Lee 0002, Seongtaek Chee, Palash Sarkar 0001 Vulnerability of Nonlinear Filter Generators Based on Linear Finite State Machines. Search on Bibsonomy FSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF nonlinear filter model, Anderson information leakage, Stream cipher, LFSR, CA
24Michael D. Powell, T. N. Vijaykumar Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise
24Colin D. Walter Seeing through MIST Given a Small Fraction of an RSA Private Key. Search on Bibsonomy CT-RSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF division chains, randomized exponentiation, Mist, randomary exponentiation, RSA, DPA, blinding, power analysis, smartcard, SPA, Addition chains, DEMA, side channel leakage, SEMA
24Guangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne H. Wolf Energy savings through compression in embedded Java environments. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded Java, Java, compression, leakage energy
24Victor V. Zyuban, Stephen V. Kosonocky Low power integrated scan-retention mechanism. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF balloon latch, data retention, low power, scan, leakage, latch, MTCMOS, subthreshold
24Adam L. Young, Moti Yung Towards Signature-Only Signature Schemes. Search on Bibsonomy ASIACRYPT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Public Key Cryptosystems (PKCS), nested trapdoor, abuse freeness, (subliminal) leakage, design validation proofs, FIPS, digital signature, decryption, Public Key Infrastructure (PKI), NIST
24Adam L. Young, Moti Yung Kleptography: Using Cryptography Against Cryptography. Search on Bibsonomy EUROCRYPT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Cryptanalytic attacks, leakage bandwidth, design and manufacturing of cryptographic devices and software, black-box devices, SETUP mechanisms, RSA, randomness, information hiding, pseudorandomness, Diffie-Hellman, subliminal channels, kleptography, Discrete Log
24Michael G. McNamer, H. Troy Nagle ITA: An algorithm for IDDQ testability analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF integrated circuit testing, testability analysis, I DDQ testing, leakage faults
24Eric W. MacDonald, Nur A. Touba Testing domino circuits in SOI technology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon-on-insulator, SOI technology, dynamic circuit styles, fault modeling analysis, overall fault coverage, parasitic bipolar leakage, CMOS logic, logic testing, integrated circuit testing, automatic testing, fault simulation, CMOS logic circuits, leakage currents, domino circuits
21Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Statistical leakage modeling for accurate yield analysis: the CDF matching method and its alternatives. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sram
21Heng Yu 0001, Bharadwaj Veeravalli, Yajun Ha Leakage-aware dynamic scheduling for real-time adaptive applications on multiprocessor systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic scheduling, adaptive applications
21Ye Zhu 0001, Riccardo Bettati Information Leakage as a Model for Quality of Anonymity Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Balachander Krishnamurthy, Craig E. Wills On the leakage of personally identifiable information via online social networks. Search on Bibsonomy WOSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF privacy, online social networks, personally identifiable information
21Kar Way Tan, Yimin Lin, Kyriakos Mouratidis Spatial Cloaking Revisited: Distinguishing Information Leakage from Anonymity. Search on Bibsonomy SSTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Moni Naor, Gil Segev 0001 Public-Key Cryptosystems Resilient to Key Leakage. Search on Bibsonomy CRYPTO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jie Gu 0003, John Keane 0001, Sachin S. Sapatnekar, Chris H. Kim Statistical Leakage Estimation of Double Gate FinFET Devices Considering the Width Quantization Property. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Guillaume Duc, Ronan Keryell Improving virus protection with an efficient secure architecture with memory encryption, integrity and information leakage protection. Search on Bibsonomy J. Comput. Virol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Franz Schlögl, Kerstin Schneider-Hornstein, Horst Zimmermann Gain reduction by gate-leakage currents in regulated cascodes. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Howard Chen 0001, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical power analysis
21Stefan Dziembowski, Krzysztof Pietrzak Leakage-Resilient Cryptography. Search on Bibsonomy FOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Design rule optimization of regular layout for leakage reduction in nanoscale design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Keith Irwin, Ting Yu 0001, William H. Winsborough Avoiding information leakage in security-policy-aware planning. Search on Bibsonomy WPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, policy, obligations
21Hong Zhu 0003, Jie Shi, Yuanzhen Wang, Yucai Feng Controlling Information Leakage of Fine-Grained Access Model in DBMSs. Search on Bibsonomy WAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Muntaha Alawneh, Imad M. Abbadi Sharing but Protecting Content Against Internal Leakage for Organisations. (PDF / PS) Search on Bibsonomy DBSec The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kuen-Yu Tsai, Meng-Fu You, Yi-Chang Lu, Philip C. W. Ng A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Hao Xu 0010, Ranga Vemuri, Wen-Ben Jone Run-time Active Leakage Reduction by power gating and reverse body biasing: An eNERGY vIEW. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Sherif A. Tawfik, Volkan Kursun Dynamic wordline voltage swing for low leakage and stable static memory banks. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Linfeng Pan, Minyi Guo, Yanqin Yang, Meng Wang 0005, Zili Shao A State-Based Predictive Approach for Leakage Reduction of Functional Units. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Soo Siang Yang, Haider A. F. Mohamed, Mahmoud Moghavvemi, Yeh Huann Goh Leakage Detection Via Model Based Method. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Min-Hsiung Hsieh, Shuen-Lin Jeng Accelerated Discrete Degradation Models for Leakage Current of Ultra-Thin Gate Oxides. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Kanak Agarwal, Rahul M. Rao, Dennis Sylvester, Richard B. Brown Parametric Yield Analysis and Optimization in Leakage Dominated Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vishal Khandelwal, Ankur Srivastava 0001 Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Masaru Takesue A Scheme for Protecting the Information Leakage Via Portable Devices. Search on Bibsonomy SECURWARE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Marko Hoyer, Domenik Helms, Wolfgang Nebel Modelling the Impact of High Level Leakage Optimization Techniques on the Delay of RT-Components. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Modeling Subthreshold Leakage Current in General Transistor Networks. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hidema Tanaka Information Leakage Via Electromagnetic Emanations and Evaluation of Tempest Countermeasures. Search on Bibsonomy ICISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Electromagnetic emanation, EMC, Side-channel attack, Eavesdropping, Tempest
21Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jugash Chandarlapati, Mainak Chaudhuri LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Haiyong Wang, Guoliang Shou, Nanjian Wu A LO-leakage auto-calibrated CMOS IEEE802.11b/g WLAN transceiver. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ashish Dobhal, Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license