The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for methodology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1972 (16) 1973-1974 (48) 1975-1976 (37) 1977 (29) 1978 (85) 1979 (48) 1980 (34) 1981 (45) 1982 (77) 1983 (84) 1984 (110) 1985 (82) 1986 (122) 1987 (96) 1988 (199) 1989 (167) 1990 (257) 1991 (189) 1992 (413) 1993 (357) 1994 (297) 1995 (430) 1996 (485) 1997 (592) 1998 (776) 1999 (900) 2000 (1035) 2001 (1051) 2002 (1428) 2003 (1637) 2004 (2132) 2005 (2396) 2006 (2751) 2007 (3054) 2008 (2976) 2009 (2009) 2010 (968) 2011 (760) 2012 (909) 2013 (848) 2014 (950) 2015 (870) 2016 (1010) 2017 (927) 2018 (1065) 2019 (1035) 2020 (1153) 2021 (1030) 2022 (1068) 2023 (1019) 2024 (237)
Publication types (Num. hits)
article(12330) book(59) data(4) incollection(390) inproceedings(26892) phdthesis(590) proceedings(45)
Venues (Conferences, Journals, ...)
CoRR(708) AIMSA(607) AMAST(471) DAC(456) DATE(456) IEEE Trans. Comput. Aided Des....(425) WSC(326) HICSS(295) IEEE Access(294) ICCAD(235) IEEE Trans. Very Large Scale I...(234) Sensors(225) ISQED(224) VLSI Design(212) ISCAS(197) ASP-DAC(193) More (+10 of total 5508)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 24254 occurrences of 8555 keywords

Results
Found 40310 publication records. Showing 40310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Alexandros Bartzas, Stylianos Mamagkakis, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Qikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy 0001 Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Santokh Singh, Cheung Ling Kelly Yu Efficient Component Based Software Engineering using the TCEM Methodology and the TCET Tool. Search on Bibsonomy APSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Masafumi Onouchi, Tetsuya Yamada, Kimihiro Morikawa, Isamu Mochizuki, Hidetoshi Sekine A system-level power-estimation methodology based on IP-level modeling, power-level adjustment, and power accumulation. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Prashant Goyal, Xiaolue Lai, Jaijeet S. Roychowdhury A fast methodology for first-time-correct design of PLLs using nonlinear phase-domain VCO macromodels. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Hassan A. Karimi, Thomas Conahan, Duangduen Roongpiboonsopit A Methodology for Predicting Performances of Map-Matching Algorithms. Search on Bibsonomy W2GIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Vehicle Navigation System, Prediction, Probability, Map-Matching
15George Triantafyllakos, George Palaigeorgiou, Stavros N. Demetriadis, Ioannis A. Tsoukalas The We!Design Methodology: Designing Educational Applications with Students. Search on Bibsonomy ICALT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yongmin Zhong, Bijan Shirinzadeh, Gürsel Alici, Julian Smith A reaction-diffusion methodology for soft object simulation. Search on Bibsonomy VRCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analogy systems, soft objects, deformation, reaction-diffusion
15Nikolas Kroupis, Stylianos Mamagkakis, Dimitrios Soudris An Estimation Methodology for Designing Instruction Cache Memory of Embedded Systems. Search on Bibsonomy ESTIMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Marinos Themistocleous, Zahir Irani (eds.) Towards a Methodology for the Development of Integrated IT Infrastructures. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Software Engineering, EAI
15Sören Auer The RapidOWL Methodology--Towards Agile Knowledge Engineering. Search on Bibsonomy WETICE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Carlo Batini, Federico Cabitza, Cinzia Cappiello, Chiara Francalanci A Comprehensive Data Quality Methodology for Web and Structured Data. Search on Bibsonomy ICDIM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Paula Cecilia Fritzsche, Concepció Roig, Ana Ripoll, Emilio Luque, Aura Hernandez A Performance Prediction Methodology for Data-dependent Parallel Applications. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tom Eeckelaert, Raf Schoofs, Georges G. E. Gielen, Michiel Steyaert, Willy M. C. Sansen Hierarchical bottom--up analog optimization methodology validated by a delta-sigma A/D converter design for the 802.11a/b/g standard. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hierarchical synthesis
15Hans-Joachim Stolberg, Mladen Berekovic, Peter Pirsch A Platform-Independent Methodology for Performance Estimation of Multimedia Signal Processing Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia signal processing, bitstream analysis, complexity, MPEG-4, performance estimation, digital signal processor
15Indranil Gupta, Steven Y. Ko, Nathanael Thompson, Mahvesh Nagda, Christo Frank Devaraj, Ramsés Morales, Jay A. Patel A Case for Design Methodology Research in Self-* Distributed Systems. Search on Bibsonomy Self-star Properties in Complex Information Systems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jasmine Lam, John McAllister, Jennifer Dudley Core-Based Methodology: An Automated Approach for Implementing a Complete System from Algorithms to a Heterogeneous Network including FPGAs. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tore Dybå, Nils Brede Moe, Erik Arisholm Measuring software methodology usage: challenges of conceptualization and operationalization. Search on Bibsonomy ISESE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis A methodology for partitioning DSP applications in hybrid reconfigurable systems. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Michalis D. Galanis, Gregory Dimitroulakos, Constantinos E. Goutis An automated methodology for memory-conscious mapping of DSP applications on coarse-grain reconfigurable arrays. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Rajagopal Rajugan, William Gardner, Elizabeth Chang 0001, Tharam S. Dillon EXtensible Web (xWeb): An XML-View Based Web Engineering Methodology. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Subhrajit Bhattacharya, John A. Darringer, Daniel L. Ostapko, Youngsoo Shin A Mask Reuse Methodology for Reducing System-on-a-Chip Cost. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Roy Grønmo, Michael C. Jaeger Model-Driven Methodology for Building QoS-Optimised Web Service Compositions. Search on Bibsonomy DAIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Weidong Wang, Anand Raghunathan, Ganesh Lakshminarayana, Niraj K. Jha Input space adaptive design: a high-level methodology for optimizing energy and performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Xiaoliang Bai, Sujit Dey High-level crosstalk defect Simulation methodology for system-on-chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Li Jiang 0006, Armin Eberlein, Behrouz Homayoun Far A Methodology for Requirements Engineering Process Development. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF process development, Requirements engineering, process model
15Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15María Engracia Gómez, José Duato, José Flich, Pedro López 0001, Antonio Robles, Nils Agne Nordbotten, Tor Skeie, Olav Lysne A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jin Liu, Keqing He, Bing Li 0010, Hao Chen, Peng Liang 0001 A Methodology for Acquisition of Software Component Attribute Ontology. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Reyes Grangel, Ricardo Chalmeta Methodology for the Development of a Sectoral Standard for EDI. Search on Bibsonomy ER (Workshops) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Félix F. Ramos 0001 Methodology for Analysis and Design of Systems. Search on Bibsonomy WSTFEUS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng A path-based methodology for post-silicon timing validation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jiang Tian, Richard Foley, Huaglory Tianfield A New Agent-Oriented Development Methodology. Search on Bibsonomy IAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian A Methodology for Design and Evaluation of Redundancy Allocation Algorithms. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Francisco Albert, José María Gomis, Margarita Valor, José Miguel Valiente Methodology for Graphic Redesign Applied to Textile and Tile Pattern Design. Search on Bibsonomy IEA/AIE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Kazutaka Matsuzaki, Nobukazu Yoshioka, Shinichi Honiden Development Methodology for Location-Aware Mobile Agent. Search on Bibsonomy MATA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15David M. Binkley, C. E. Hopper, Steve D. Tucker, Brian C. Moss, James M. Rochelle, Daniel Foty A CAD methodology for optimizing transistor current and sizing in analog CMOS design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Irfan Zakiuddin, Michael Goldsmith, Paul Whittaker, Paul H. B. Gardiner A Methodology for Model-Checking Ad-hoc Networks. Search on Bibsonomy SPIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Fei Sun, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha A Scalable Application-Specific Processor Synthesis Methodology. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Yoon Bang Sung, Mun-Young Choi, Kyung-Soo Joo The Unified Design Methodology for Application Based on XML Schema. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ramakrishna Voorakaranam, Randy Newby, Sasikumar Cherubal, Bob Cometta, Thomas Kuehl, David M. Majernik, Abhijit Chatterjee Production Deployment of a Fast Transient Testing Methodology for Analog Circuits : Case Study and Results. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mahesh Mamidipaka, Nikil D. Dutt, Kamal S. Khouri A Methodology for Accurate Modeling of Energy Dissipation in Array Structures. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Li Chen, Srivaths Ravi 0001, Anand Raghunathan, Sujit Dey A scalable software-based self-test methodology for programmable processors. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scalability, microprocessor, at-speed test, software-based self-test, test program, manufacturing test
15Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man A systematic methodology for the application of data transfer and storage optimizing code transformations for power consumption and execution time reduction in realizations of multimedia algorithms on programmable processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Chantal Ykman-Couvreur, Jurgen Lambrecht, Diederik Verkest, Francky Catthoor, Bengt Svantesson, Ahmed Hemani, F. Wolf Dynamic memory management methodology applied to embedded telecom network systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Amer Baghdadi, Nacer-Eddine Zergainoh, Wander O. Cesário, Ahmed Amine Jerraya Combining a Performance Estimation Methodology with a Hardware/Software Codesign Flow Supporting Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware/software codesign, Performance estimation, multiprocessor architectures, architecture exploration, system-level simulation
15Syed Saif Abrar High Performance Multiprocessor Architecture Design Methodology for Application-Specific Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Douglas Talbott, Matthew Gibson, Suzanne Skublics A collaborative methodology for the rapid development and delivery of online courses. Search on Bibsonomy SIGDOC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF course delivery, course development, mentored learning, e-learning
15Kartik Mohanram, C. V. Krishna, Nur A. Touba A methodology for automated insertion of concurrent error detection hardware in synthesizable Verilog RTL. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Syed M. Alam, Donald E. Troxel, Carl V. Thompson A Comprehensive Layout Methodology and Layout-Specific Circuit Analyses for Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3D integrated circuit, 3D IC layout, inter-wafer via, reliability CAD tool, FPGA, performance analysis, reliability analysis
15Lin Padgham, Michael Winikoff Prometheus: A Methodology for Developing Intelligent Agents. Search on Bibsonomy AOSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Osman Balci A methodology for certification of modeling and simulation applications. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF credibility assessment, evaluation, verification, validation, certification, accreditation, quality assessment
15Paul Lieverse, Pieter van der Wolf, Kees A. Vissers, Ed F. Deprettere A Methodology for Architecture Exploration of Heterogeneous Signal Processing Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF performance analysis, signal processing, design space exploration, system level design
15Kwanghoon Pio Kim, Clarence A. Ellis Workflow performance and scalability analysis using the layered queuing modeling methodology. Search on Bibsonomy GROUP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF architectural performance analysis, architectural quality attribute, hardware contention model, method of layer (MOL), performance analytic model, software contention model, taxonomy of workflow architectures, performance, scalability, architectural framework
15Kanna Shimizu, David L. Dill, Ching-Tsun Chou A Specification Methodology by a Collection of Compact Properties as Applied to the Intel® ItaniumTM Processor Bus Protocol. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Forrest Shull, Jeffrey C. Carver, Guilherme Travassos An empirical methodology for introducing software processes. Search on Bibsonomy ESEC / SIGSOFT FSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF OO design inspections, experimental process, empirical studies, software quality, software process
15Shih-Hsu Huang An effective low power design methodology based on interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jing-Reng Huang, Madhu K. Iyer, Kwang-Ting Cheng A Self-Test Methodology for IP Cores in Bus-Based Programmable SoCs. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Sherif M. Yacoub, Hany H. Ammar, Tom Robinson A Methodology for Architectural-Level Risk Assessment Using Dynamic Metrics. Search on Bibsonomy ISSRE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF component-dependency graphs and dynamic metrics, risk assessment, Risk analysis, risk modeling
15Philippe Poure, Fabrice Aubépart, Francis Braun A Design Methodology for Hardware Prototyping of Integrated AC Drive Control: Application to Direct Torque Control of an Induction Machine. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Jen-Tien Yen, Qichao Richard Yin Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Xiaoliang Bai, Sujit Dey, Janusz Rajski Self-test methodology for at-speed test of crosstalk in chip interconnects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Usha V. Subramanian An Event, Activity and Process Based Methodology for Requirements Elicitation and Its Application to an Educational Information System. Search on Bibsonomy APSEC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF requirements engineering, process model, requirements elicitation, hierarchical model
15Amr M. Fahim, Mohamed I. Elmasry A low-power CMOS frequency synthesizer design methodology for wireless applications. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Heeseok Lee, Jae Lee Analyzing Business Domain: A Methodology and Repository System. Search on Bibsonomy HICSS (3) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Brian Tierney, William E. Johnston, Brian Crowley, Gary Hoo, Christopher X. Brooks, Dan Gunter The NetLogger Methodology for High Performance Distributed Systems Performance Analysis. Search on Bibsonomy HPDC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF High Performance Distributed Systems Performance Analysis
15Christina Class, Burkhard Stiller A Methodology to Assess Synchronization Algorithms for Distributed Applications. Search on Bibsonomy LCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Quality of Service, Synchronization, Analysis, Distributed Multimedia Applications
15Yassine Faihe, Jean-Pierre Müller Analysis and Design of Robot's Behavior: Towards a Methodology. Search on Bibsonomy EWLR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Arne-Jørgen Berre, Jan Øyvind Aagedal, António Rito Silva SIMOD - An ODP-extended Role-Modeling Methodology for Distributed. Search on Bibsonomy HICSS (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Sharon M. Bitzer, Magdi N. Kamel Workflow Reengineering: A Methodology for Business Process Reengineering Using Workflow Management Technology. Search on Bibsonomy HICSS (4) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15K. Nanda, S. K. Desai, S. K. Roy A New Methodology for the Design of Asynchronous Digital Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Chiung-San Lee, Tai-Ming Parng A Subsystem-Oriented Performance Analysis Methodology for Shared-Bus Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Bottleneck analysis, DMA transfer, separated address bus and data bus, shared-bus multiprocessor system, subsystem access time modeling, subsystem interferences, performance analysis
15Nicholas J. Puketza, Kui Zhang, Mandy Chung, Biswanath Mukherjee, Ronald A. Olsson A Methodology for Testing Intrusion Detection Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF computer user simulation, software testing, Intrusion detection, computer security
15Susanna Donatelli, Giuliana Franceschinis The PSR Methodology: Integrating Hardware and Software Models. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Iasson Vassiliou, Henry Chang, Alper Demir 0001, Edoardo Charbon, Paolo Miliozzi, Alberto L. Sangiovanni-Vincentelli A video driver system designed using a top-down, constraint-driven methodology. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Analog CAD, Video Driver System Chips, Analog Behavioral Modeling, Design Methodologies
15Jaffar Rehman, Evan D. Lock, Cuong Nguyen A Generalized Methodology for Evaluating and Optimizing System Design Factors. Search on Bibsonomy ICECCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Tapas Kanungo, Mysore Y. Jaisimha, John Palmer, Robert M. Haralick A methodology for quantitative performance evaluation of detection algorithms. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Marta Franová Constructive Matching - A Methodology for Inductive Theorem Proving. Search on Bibsonomy JELIA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Ravi Mukkamala, Steven C. Bruell, Roger K. Shultz Design of Partially Replicated Distributed Database Systems: An Integrated Methodology. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Debasish Banerjee A Methodology for Synthesis of Recursive Functional Programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15André M. C. Campos, Anne M. P. Canuto, Jorge H. C. Fernandes Towards a Methodology for Developing Agent-Based Simulations: The MASim Methodology. Search on Bibsonomy AAMAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Michele Amico, Zbigniew J. Pasek, Farshid Asl, Giovanni Perrone Simulation methodology for collateralized debt and real options: a new methodology to evaluate the real options of investment using binomial trees and monte carlo simulation. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Paul Saulnier, Ehud Sharlin, Saul Greenberg Exploring interruption in HRI using wizard of oz. Search on Bibsonomy HRI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF robot behaviours, component, human-robot interaction, methodology, interruption, social
14Stefan Valentin Gheorghita, Martin Palkovic, Juan Hamers, Arnout Vandecappelle, Stelios Mamagkakis, Twan Basten, Lieven Eeckhout, Henk Corporaal, Francky Catthoor, Frederik Vandeputte, Koen De Bosschere System-scenario-based design of dynamic embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic nature, system scenarios, real-time systems, embedded systems, Design methodology, energy reduction
14Carlo Batini, Cinzia Cappiello, Chiara Francalanci, Andrea Maurino Methodologies for data quality assessment and improvement. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data quality assessment, data quality improvement, data quality measurement, quality dimension, information system, methodology, Data quality
14Rafaella Fiorelli, Fernando Silveira, Eduardo J. Peralías Phase noise - consumption trade-off in low power RF-LC-VCO design in micro and nanometric technologies. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design methodology, power consumption, VCO, radio-frequency
14Peter Bajcsy, Kenton McHenry, Hye-Jung Na, Rahul Malik, Andrew Spencer, Suk-Kyu Lee, Rob Kooper, Mike Frogley Immersive environments for rehabilitation activities. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF citizens with disabilities, evaluation methodology, 3D video
14Begoña Losada, Maite Urretavizcaya, Isabel Fernández de Castro Efficient Building of Interactive Applications Guided by Requirements Models. Search on Bibsonomy ICWE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF user model, methodology, task model, Requirements analysis, system model, dialog model
14Rafael A. Arce-Nazario, Manuel Jiménez, Domingo Rodríguez Mapping of Discrete Cosine Transforms onto Distributed Hardware Architectures. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Distributed hardware architecture, Partitioning methodology, Discrete cosine transforms
14Xuan-Tu Tran, Yvain Thonnart, Jean Durupt, Vincent Beroulle, Chantal Robach A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC testing, QDI asynchronous logic, Network-on-Chip, DfT, testability, NoC, Design-for-Test, GALS, SoC testing, testing methodology, on-chip communication, Globally Asynchronous - Locally Synchronous
14Bruce J. Vanstone, Gavin R. Finnie, Tobias Hahn Designing Short Term Trading Systems with Artificial Neural Networks. Search on Bibsonomy World Congress on Engineering (Selected Papers) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trading System, Short-Term, Vanstone and Finnie methodology, Artificial Neural Network, trading strategy
14Ludwig Fuchs, Günther Pernul HyDRo - Hybrid Development of Roles. Search on Bibsonomy ICISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Role Development Methodology, Information security, Identity Management, Role Engineering, Role Mining
14Luciano Vargas Flores, Richard Faust, Marcelo Soares Pimenta Definindo uma proposta para avaliações de usabilidade de aplicações para o sistema brasileiro de TV digital. Search on Bibsonomy IHC The full citation details ... 2008 DBLP  BibTeX  RDF Brazilian digital TV system, usability evaluation methodology, digital TV, SBTVD
14Park Jong Soon, Rohae Myung Evaluation of menu structure based on signal detection theory. Search on Bibsonomy SIGDOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF methodology support for usability evaluation, signal detection theory, user-centered design, eye-tracking, information architecture
14Mohsen Asadi, Raman Ramsin MDA-Based Methodologies: An Analytical Survey. Search on Bibsonomy ECMDA-FA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Model Driven Architecture, Evaluation Criteria, Software Development Methodology
14Tanvir Ahmed 0002, Anand R. Tripathi Specification and verification of security requirements in a programming model for decentralized CSCW systems. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF finite state-based model checking, methodology for access-control policy design, role-based access control, Security policy specification
14Issam W. Damaj Higher-Level Hardware Synthesis of the KASUMI Algorithm. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallel algorithms, methodology, formal models, data encryption, gate array
14Christopher C. Tsai, Gunny Lee, Fred Raab, Gregory J. Norman, Timothy Sohn, William G. Griswold, Kevin Patrick Usability and Feasibility of PmEB: A Mobile Phone Application for Monitoring Real Time Caloric Balance. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF user centered design methodology, mobile communication, software prototyping, behavioral science
14Federico Di Palma, Giuseppe De Nicolao, Guido Miraglia, Oliver M. Donzelli ACID: Automatic Sort-Map Classification for Interactive Process Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AC/ID methodology, electrical sort test, commonality analysis, pattern recognition, fault diagnosis, statistical methods, semiconductor manufacturing
14Lisa Bahler, Francesco Caruso, Josephine Micallef A Practical Method and Tool for Systems Engineering of Service-Oriented Applications. Search on Bibsonomy WISE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Service Design Methodology, SOA Tools, SOA, Model-Driven Development, Systems Engineering, Enterprise Application Integration
Displaying result #401 - #500 of 40310 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license