The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Xuemei Zhao, Karl Sammut, Fangpo He, Shaowen Qin Split Private and Shared L2 Cache Architecture for Snooping-based CMP. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang Modeling and Single-Pass Simulation of CMP Cache Capacity and Accessibility. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple cache organization, single-pass simulation, on-chip storage space, on-chip cache capacity, single-pass stack simulation, global stack, shared stack, per-core private stack, single simulation pass, average memory access time, chip-multiprocessor, data replication, data accessibility, abstract model, reuse distances
37Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang Novel wire density driven full-chip routing for CMP variation control. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Li Zhao 0002, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell Exploring DRAM cache architectures for CMP server platforms. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio, Pablo Prieto Rotary router: an efficient architecture for CMP interconnection networks. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, router architecture, chip multi-processors
37Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson Parallel depth first vs. work stealing schedulers on CMP architectures. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, caches, chip multiprocessors
37Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Reiley Jeyapaul, Fei Hong, Abhishek Rhisheekesan, Aviral Shrivastava, Kyoungwoo Lee UnSync-CMP: Multicore CMP Architecture for Energy-Efficient Soft-Error Reliability. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
36Yongbo Wu, Weiping Yang, Masakazu Fujimoto, Libo Zhou Mirror Surface Finishing of Silicon Wafer Edge Using Ultrasonic Assisted Fixed-Abrasive CMP (UF-CMP). Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Randy Smith, Dan Gibson, Shijin Kong To CMP or not to CMP: analyzing packet classification on modern and traditional parallel architectures. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, packet classification
36Daniel Greenfield, Simon W. Moore Fractal communication in software data dependency graphs. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP, communication complexity, fractal, NoC
36Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
36Jichuan Chang, Gurindar S. Sohi Cooperative cache partitioning for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cooperative cache partitioning, multiple time-sharing partitions, QoS, fairness, CMP
36Christopher J. Hughes, Radek Grzeszczuk, Eftychios Sifakis, Daehyun Kim 0001, Sanjeev Kumar, Andrew Selle, Jatin Chhugani, Matthew J. Holliman, Yen-Kuang Chen Physical simulation for animation and visual effects: parallelization and characterization for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallelization, CMP, characterization, physical simulation
36Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking
35Takeshi Ogasawara, Ken Sakamura How lock contention affects energy use in a CMP server. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java, energy efficiency, CMP, DVFS, lock contention
35Nauman Rafique, Won-Taek Lim, Mithuna Thottethodi Architectural support for operating system-driven CMP cache management. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF quotas, QoS, cache, interface, fairness, CMP, SLAs, OS
35Javier Lira, Carlos Molina, Antonio González 0001 The auction: optimizing banks usage in Non-Uniform Cache Architectures. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bank replacement policy, non-uniform cache architecture (NUCA), chip multiprocessors (CMP)
35Dan Gibson, David A. Wood 0001 Forwardflow: a scalable core for power-constrained CMPs. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scalable core, chip multiprocessor (cmp), power
35Jinglei Wang, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001 An Efficient Lightweight Shared Cache Design for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Directory-based Cache Coherence Protocol, Lightweight Shared Cache, Chip Multiprocessors (CMP)
35Valentina Salapura Scaling up next generation supercomputers. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability of systems, chip multiprocessors (cmp), multicore, coherence protocols, blue gene
35Slo-Li Chu Toward to Utilize the Heterogeneous Multiple Processors of the Chip Multiprocessor Architecture. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Swing Scheduling, Octans, Chip Multiprocessor (CMP), Processor-in-Memory
34Muhammad Mukaram Khan, Javier Navaridas, Alexander D. Rast, Xin Jin 0003, Luis A. Plana, Mikel Luján, John V. Woods, José Miguel-Alonso, Steve B. Furber Event-Driven Configuration of a Neural Network CMP System over a Homogeneous Interconnect Fabric. Search on Bibsonomy ISPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-CMP Configuration, Neural Networks, Fault-tolerance, Embedded Systems, Chip Multiprocessor, Real-time Application, Massively Parallel Computing
34Major Bhadauria, Vincent M. Weaver, Sally A. McKee PARSEC: hardware profiling of emerging workloads for CMP design. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cmp design profiling
28Rehan Hameed, Wajahat Qadeer, Megan Wachs, Omid Azizi, Alex Solomatnikov, Benjamin C. Lee, Stephen Richardson, Christos Kozyrakis, Mark Horowitz Understanding sources of inefficiency in general-purpose chips. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tensilica, energy efficiency, chip multiprocessor, customization, ASIC, h.264, high performance
28Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, Niraj K. Jha GARNET: A detailed on-chip network model inside a full-system simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Amin Firoozshahian, Alex Solomatnikov, Ofer Shacham, Zain Asgar, Stephen Richardson, Christos Kozyrakis, Mark Horowitz A memory system design framework: creating smart memories. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory access protocol, protocol controller, transactional memory, reconfigurable architecture, cache coherence, memory systems, multi-core processors, stream programming
28Enric Herrero, José González 0002, Ramon Canal Distributed cooperative caching. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF distributed cooperative caching, energy efficiency, chip multiprocessors, memory hierarchy
28Petko Bakalov, Vassilis J. Tsotras A Generic Framework for Continuous Motion Pattern Query Evaluation. Search on Bibsonomy ICDE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Wonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks System level analysis of fast, per-core DVFS using on-chip switching regulators. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Sushu Zhang, Karam S. Chatha Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hashem Hashemi Najaf-abadi, Eric Rotenberg Configurational Workload Characterization. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Laiquan Han, Jinkuan Wang, Cuirong Wang A Crosslayer Concurrent Multipath Random Forward Algorithm. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Carolina Bonacic, Carlos García 0001, Mauricio Marín, Manuel Prieto 0001, Francisco Tirado Exploiting Hybrid Parallelism in Web Search Engines. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Cor Meenderinck, Ben H. H. Juurlink (When) Will CMPs Hit the Power Wall?. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Padma Apparao, Ravi R. Iyer 0001, Donald Newell Implications of cache asymmetry on server consolidation performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Hui Wang, Sandeep Baldawa, Rama Sangireddy Dynamic Error Detection for Dependable Cache Coherency in Multicore Architectures. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Christopher LaFrieda, Engin Ipek, José F. Martínez, Rajit Manohar Utilizing Dynamically Coupled Cores to Form a Resilient Chip Multiprocessor. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Christof Pitter, Martin Schoeberl Time Predictable CPU and DMA Shared Memory Access. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Joseph J. Sharkey, Alper Buyuktosunoglu, Pradip Bose Evaluating design tradeoffs in on-chip power management for CMPs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fetch throttling, dynamic voltage scaling, power-aware, chip multi-processor
28Davy Genbrugge, Lieven Eeckhout Statistical simulation of chip multiprocessors running multi-program workloads. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope High Level Modelling and Design For a Microthreaded Scheduler to Support Microgrids. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Michael R. Marty, Mark D. Hill Virtual hierarchies to support server consolidation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtual machines, partitioning, chip multiprocessors (CMPs), multicore, memory hierarchies, cache coherence, server consolidation
28Jingling Xue, Qiong Cai A lifetime optimal algorithm for speculative PRE. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF classic PRE, speculative PRE, data-flow analysis, Partial redundancy elimination, lifetime optimality, computational optimality
28Hou Rui, Longbing Zhang, Weiwu Hu A Hybrid Hardware/Software Generated Prefetching Thread Mechanism on Chip Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Changhee Jung, Daeseob Lim, Jaejin Lee, Yan Solihin Helper thread prefetching for loosely-coupled multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Pengyong Ma, Xiao Hu, Shuming Chen, Yang Guo Pseudo Share Data Cache in Multiprocessor: PSDMP. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Neil Vachharajani, Matthew Iyer, Chinmay Ashok, Manish Vachharajani, David I. August, Daniel A. Connors Chip multi-processor scalability for single-threaded applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Julia Chen, Philo Juang, Kevin Ko, Gilberto Contreras, David Penry, Ram Rangan, Adam Stoler, Li-Shiuan Peh, Margaret Martonosi Hardware-modulated parallelism in chip multiprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28John D. Davis, Stephen E. Richardson, Charis Charitsis, Kunle Olukotun A chip prototyping substrate: the flexible architecture for simulation and testing (FAST). Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Hisashige Ando, Nestoras Tzartzanis, William W. Walker A Case Study: Power and Performance Improvement of a Chip Multiprocessor for Transaction Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Annie P. Foong, Gary L. McAlpine, Dave B. Minturn, Greg J. Regnier, Vikram A. Saletore An Architecture for Software-Based iSCSI on Multiprocessor Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Jose Renau, James Tuck 0001, Wei Liu 0014, Luis Ceze, Karin Strauss, Josep Torrellas Tasking with out-of-order spawn in TLS chip multiprocessors: microarchitecture and compilation. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Wm. Benjamin Martz Jr., Venkateshwar K. Reddy Looking for Indicators of Media Richness Theory in Distance Education. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Xin Wang, Charles C. Chiang, Jamil Kawa, Qing Su A Min-Variance Iterative Method for Fast Smart Dummy Feature Density Assignment in Chemical-Mechanical Polishing. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Zeshan Chishti, Michael D. Powell, T. N. Vijaykumar Optimizing Replication, Communication, and Capacity Allocation in CMPs. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Partha Kundu, Murali Annavaram, Trung A. Diep, John Paul Shen A case for shared instruction cache on chip multiprocessors running OLTP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Mohamed M. Zahran On cache memory hierarchy for Chip-Multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Jan Kratochvíl, Martin Pergel Two Results on Intersection Graphs of Polygons. Search on Bibsonomy GD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Chong-liang Ooi, Seon Wook Kim, Il Park 0001, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Kim Vejlby Hansen, Jan Larsen An algorithm for successive identification of reflections. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio MRR: Enabling fully adaptive multicast routing for CMP interconnection networks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Chunyang Feng, Hai Zhou 0001, Changhao Yan, Jun Tao 0001, Xuan Zeng 0001 Provably good and practically efficient algorithms for CMP dummy fill. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF covering linear programming, dummy fill problem, design for manufacturability
28Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, architecture, pipeline, multicore
28Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry CMP Support for Large and Dependent Speculative Threads. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF databases, Multiprocessor Systems, cache coherence, thread-level speculation
28Hailong Yao, Yici Cai, Xianlong Hong CMP-aware Maze Routing Algorithm for Yield Enhancement. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Antonio Flores, Juan L. Aragón, Manuel E. Acacio Efficient Message Management in Tiled CMP Architectures Using a Heterogeneous Interconnection Network. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Heterogeneus On-Chip Interconnection Network, Chip-Multiprocessor, Energy-Efficient Architectures, Parallel Scientific Applications
28Lei Miao 0002, Yong Qi, Di Hou, Chang-li Wu, Yue-hua Dai Dynamic Power Management and Dynamic Voltage Scaling in Real-time CMP Systems. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Christof Simons CMP: A UML Context Modeling Profile for Mobile Distributed Systems. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Roberto Giorgi, Zdravko Popovic, Nikola Puzovic DTA-C: A Decoupled multi-Threaded Architecture for CMP Systems. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Seung Eun Lee, Jun Ho Bahn, Nader Bagherzadeh Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP). Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos Preventing Denial-of-Service Attacks in Shared CMP Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Bradford M. Beckmann, Michael R. Marty, David A. Wood 0001 ASR: Adaptive Selective Replication for CMP Caches. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ali El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas Compatible phase co-scheduling on a CMP of multi-threaded processors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Mario Donato Marino 32-core CMP with multi-sliced L2: 2 and 4 cores sharing a L2 slice. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Koushik Chakraborty, Philip M. Wells, Gurindar S. Sohi Computation spreading: employing hardware migration to specialize CMP cores on-the-fly. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic specialization, cache locality
28Fredrik Warg, Per Stenström Limits on Speculative Module-Level Parallelism in Imperative and Object-Oriented Programs on CMP Platforms. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky Practical iterated fill synthesis for CMP uniformity. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Major Bhadauria, Sally A. McKee An approach to resource-aware co-scheduling for CMPs. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, performance, energy efficiency, CMP
26Jin Cui, Douglas L. Maskell Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic tas, post thermal map, cmp, soft real-time
26M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, Yale N. Patt Accelerating critical section execution with asymmetric multi-core architectures. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous cores, parallel programming, cmp, multi-core, locks, critical sections
26Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NUCA, post office placement problem, CMP, data migration
26M. Aater Suleman, Moinuddin K. Qureshi, Yale N. Patt Feedback-driven threading: power-efficient and high-performance execution of multi-threaded workloads on CMPs. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, CMP, bandwidth, multi-threaded
26Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester Energy efficient near-threshold chip multi-processing. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF near-threshold, energy efficient, CMP, subthreshold
26Martin Karlsson, Erik Hagersten, Kevin E. Moore, David A. Wood 0001 Exploring Processor Design Options for Java-Based Middleware. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Middleware, CMP, workloads, ILP, Characterization
25Shirish Tatikonda, Srinivasan Parthasarathy 0001 An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP architectures, frequent tree mining
25Slo-Li Chu Critical Block Scheduling: A Thread-Level Parallelizing Mechanism for a Heterogeneous Chip Multiprocessor Architecture. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Critical Block Scheduling, Octans, Chip Multiprocessor (CMP), Processor-in-Memory
25Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
25Mladen Nikitovic, Mats Brorsson An adaptive chip-multiprocessor architecture for future mobile terminals. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chip-multiprocessor (CMP), power consumption, mobile terminals, energy-aware scheduling
19Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin, Sri Hari Krishna Narayanan Compiler directed network-on-chip reliability enhancement for chip multiprocessors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, compiler, noc, chip multiprocessors
19Aparna Mandke Dani, Keshavan Varadarajan, Bharadwaj Amrutur, Y. N. Srikant Accelerating multi-core simulators. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chip multi-core, multi-core platform, timed petri-nets, instruction set simulator, cache simulator
19Stamatis G. Kavadias, Manolis Katevenis, Michail Zampetakis, Dimitrios S. Nikolopoulos On-chip communication and synchronization mechanisms with cache-integrated network interfaces. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF explicit communication, inter-processor synchronization, cache, network interface
19Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Don Newell, Liqun Cheng NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache, directory
19Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Necromancer: enhancing system throughput by animating dead cores. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF execution abstraction, heterogeneous core coupling, manufacturing defects
19Daniel Sánchez 0003, Richard M. Yoo, Christos Kozyrakis Flexible architectural support for fine-grain scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain scheduling, scheduling, chip-multiprocessors, messaging, many-core, work-stealing
19Alex Solomatnikov, Amin Firoozshahian, Ofer Shacham, Zain Asgar, Megan Wachs, Wajahat Qadeer, Stephen Richardson, Mark Horowitz Using a configurable processor generator for computer architecture prototyping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computer architecture prototyping, configurable/extensible processor generator, memory system architecture, reconfigurable architecture, VLSI design
19Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-network coherence filtering: snoopy coherence without broadcasts. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
19Gilles Pokam, Cristiano Pereira, Klaus Danne, Rolf Kassa, Ali-Reza Adl-Tabatabai Architecting a chunk-based memory race recorder in modern CMPs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory race recorder, determinism, deterministic replay
Displaying result #101 - #200 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license