The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
54Xavier Vera, Björn Lisper, Jingling Xue Data Caches in Multitasking Hard Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Scott McFarling Program Optimization for Instruction Caches. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
54Aamer Jaleel, William Hasenplaugh, Moinuddin K. Qureshi, Julien Sebot, Simon C. Steely Jr., Joel S. Emer Adaptive insertion policies for managing shared caches. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF set dueling, shared cache, replacement, cache partitioning
54Jun Wang 0001, Xiaoyu Yao, Christopher Mitchell, Peng Gu A New Hierarchical Data Cache Architecture for iSCSI Storage Server. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
54Nobuaki Tojo, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Exact and fast L1 cache simulation for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
54Xiaoyu Yao, Jun Wang 0001 Toward Effective NIC Caching: A Hierarchical Data Cache Architecture for iSCSI Storage Servers. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Xiaomei Ji, Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Compiler-Directed Cache Assist Adaptivity. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Jih-Kwon Peir, Yongjoon Lee, Windsor W. Hsu Capturing Dynamic Memory Reference Behavior with Adaptive Cache Topology. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
54Soontae Kim, Jongmin Lee 0002 Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, data cache, write buffer
54Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri Low depth cache-oblivious algorithms. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sparse-matrix vector multiply, schedulers, parallel algorithms, multiprocessors, sorting, graph algorithms, cache-oblivious algorithms
54Chuanjun Zhang Reducing cache misses through programmable decoders. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Cache, dynamic optimization
54Yudong Tan, Vincent John Mooney III WCRT analysis for a uniprocessor with a unified prioritized cache. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time system, timing analysis, cache design
54Rui Min, Yiming Hu Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Novel memory architectures, cache, memory systems, TLB, performance enhancement
54Kian-Lee Tan, Jun Cai, Beng Chin Ooi An Evaluation of Cache Invalidation Strategies in Wireless Environments. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF doze mode, bit-sequences, Mobile computing, energy consumption, access time, disconnection, cache invalidation
54Roberto Giorgi, Paolo Bennati Filtering drowsy instruction cache to achieve better efficiency. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power, leakage, drowsy cache, filter cache
54Yu Huang 0002, Beihong Jin, Jiannong Cao 0001, Guangzhong Sun, Yulin Feng A Selective Push Algorithm for Cooperative Cache Consistency Maintenance over MANETs. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache Status Maintenance, Selective Push, Mobile Ad hoc Networks, Stateful, Cooperative Caching, Cache Consistency
54Jie S. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Analyzing data reuse for cache reconfiguration. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache polymorphism, compilers, energy consumption, Embedded software, data reuse, cache locality
54Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA substrate for flexible CMP cache sharing. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache sharing, non-uniform cache architecture, chip-multiprocessor
54Josef Weidendorfer, Carsten Trinitis Collecting and Exploiting Cache-Reuse Metrics. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cache Reuse Metrics, Profiling, Cache Simulation
54Zhijun Wang 0001, Sajal K. Das 0001, Hao Che, Mohan Kumar A Scalable Asynchronous Cache Consistency Scheme (SACCS) for Mobile Environments. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stale cache hit, Mobile environments, cache consistency, disconnection, bandwidth utilization
54Jie S. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Hendra Saputra, Wei Zhang 0002 Compiler-directed cache polymorphism. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache polymorphism, compilers, energy consumption, embedded software, data reuse, cache locality
54Moinuddin K. Qureshi, M. Aater Suleman, Yale N. Patt Line Distillation: Increasing Cache Capacity by Filtering Unused Words in Cache Lines. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Yen-Jen Chang, Chia-Lin Yang, Feipei Lai Value-Conscious Cache: Simple Technique for Reducing Cache Access Power. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Gi-Ho Park, Kil-Whan Lee, Jae-Hyuk Lee, Tack-Don Han, Shin-Dug Kim A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Chi-Hung Chi, Henry G. Dietz Unified Management of Registers and Cache Using Liveness and Cache Bypass. Search on Bibsonomy PLDI The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
54Rong Xu, Zhiyuan Li 0001 A sample-based cache mapping scheme. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache bypass, cache mapping, mini cache, trace sampling, profiling, handheld devices
54Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura An Optical Bus Computer Cluster with a deferred cache coherence protocol. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage
54Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Computer architecture, embedded processor, instruction cache, cache prefetching
50Andhi Janapsatya, Sri Parameswaran, Aleksandar Ignjatovic HitME: low power Hit MEmory buffer for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
50Ben H. H. Juurlink Unified Dual Data Caches. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Jen-Chu Liu, Wen-Tsuen Chen An Efficient Wireless Internet Access Scheme. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Brannon Batson, T. N. Vijaykumar Reactive-Associative Caches. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
50Guanghui Liu ECC-Cache: A Novel Low Power Scheme to Protect Large-Capacity L2 Caches from Transiant Faults. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
50Chun-Chieh Lin, Chuen-Liang Chen Object Placement for Fully Associative Cache. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Cheol Hong Kim, Sung-Hoon Shim, Jong Wook Kwak, Sung Woo Chung, Chu Shik Jhon First-Level Instruction Cache Design for Reducing Dynamic Energy Consumption. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Dhruba Chandra, Fei Guo, Seongbeom Kim, Yan Solihin Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara Data cache prefetching design space exploration for BlueGene/L supercomputer. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Seongbeom Kim, Dhruba Chandra, Yan Solihin Fair Cache Sharing and Partitioning in a Chip Multiprocessor Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Ying Zheng, Brian T. Davis, Matthew Jordan Performance evaluation of exclusive cache hierarchies. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Alaa R. Alameldeen, David A. Wood 0001 Adaptive Cache Compression for High-Performance Processors. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Changkyu Kim, Doug Burger, Stephen W. Keckler An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Bryan Black, Bohuslav Rychlik, John Paul Shen The Block-Based Trace Cache. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
50Amir H. Hashemi, David R. Kaeli, Brad Calder Efficient Procedure Mapping Using Cache Line Coloring. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
50Sung-Eui Yoon, Peter Lindstrom 0001 Mesh Layouts for Block-Based Caches. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mesh and graph layouts, cache-aware and cache-oblivious layouts, metrics for cache coherence, data locality
50W. Lynn Gallagher, Chuan-lin Wu Evaluation of a memory hierarchy for the MTS multithreaded processor. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy evaluation, MTS multithreaded processor, hardware resource utilization, instruction throughput, multithreaded superscalar processor, multiple instruction streams, multiple functional unit architecture, parameter-driven simulator, SES/workbench, numerical benchmarks, memory system configurations, main memory latency, cache hit rates, realistic multilevel cache hierarchy, parallel processing, VLIW, superscalar processor, instruction cache
50Igor Tatarinov, Alex Rousskov, Valery Soloviev Static caching in Web servers. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implementation complexity an, static caching, cache policies, LRU-threshold, LFU, LRU-SIZE, LRU-MIN, LRU-k-threshold, Pitkow/Recker policy, Web document access patterns, request log file, small cache sizes, primary Web servers, CPU overhead, performance analysis, cache performance, log files, online front-ends
50André Seznec, François Bodin Skewed-associative Caches. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF skewed-associative cache, cache, microprocessors, set-associative cache
50Alexander Heinecke, Carsten Trinitis, Josef Weidendorfer Porting existing cache-oblivious linear algebra HPC modules to larrabee architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF accelerator space-filling curve, openmp, matrix multiplication, cache-oblivious, lu decomposition, manycore
50Anca Mariana Molnos, Sorin Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Compositional, Dynamic Cache Management for Embedded Chip Multiprocessors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Predictability, Multiprocessor, Compositionality, Cache management
50Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem Dynamic cache clustering for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (nuca), chip multiprocessor (cmp)
50Kapil Anand, Rajeev Barua Instruction cache locking inside a binary rewriter. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache locking, embedded systems, caches, binary rewriting
50Yutao Zhong 0001, Steven G. Dropsho, Xipeng Shen, Ahren Studer, Chen Ding 0001 Miss Rate Prediction Across Program Inputs and Cache Configurations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, compilers, Cache memories, modeling techniques, performance analysis and design aids
50Lakshmish Ramaswamy, Ling Liu 0001, Arun Iyengar Scalable Delivery of Dynamic Content Using a Cooperative Edge Cache Grid. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF edge computing, cache clouds, cooperative caching, Dynamic content caching
50Norbert Esser, Renga Sundararajan, Joachim Trescher Improving TriMedia Cache Performance by Profile Guided Code Reordering. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache, profiling, code layout
50Heiko Falk, Sascha Plazar, Henrik Theiling Compile-time decided instruction cache locking using worst-case execution paths. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WC-path, cache locking, optimization, WCET
50Jichuan Chang, Gurindar S. Sohi Cooperative cache partitioning for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cooperative cache partitioning, multiple time-sharing partitions, QoS, fairness, CMP
50Tony Givargis Zero cost indexing for improved processor cache performance. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF index hashing, Cache optimization, design exploration
50Jumnit Hong, Eriko Nurvitadhi, Shih-Lien Lu Design, implementation, and verification of active cache emulator (ACE). Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA-based emulator, real-time emulation, cache modeling
50Fei Guo, Yan Solihin An analytical model for cache replacement policy performance. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analytical model, cache performance, replacement policy
50Le Cai, Yung-Hsiang Lu Power reduction of multiple disks using dynamic cache resizing and speed control. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF disk rotation speed, power management, disk cache
50Tohru Ishihara, Farzan Fallah A non-uniform cache architecture for low power system design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, compiler, microprocessor, cache memory
50Ming Zhang 0026, Qing Yang 0001 BUCS - A Bottom-Up Cache Structure for Networked Storage Servers. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache structure, intelligent controller, networked storage, bus contention
50Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems An Intelligent Cache System with Hardware Prefetching for High Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual data cache, prefetching, Memory hierarchy, temporal locality, spatial locality
50Jeffrey B. Rothman, Alan Jay Smith Sector Cache Design and Performance. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sector cache, simulation, architecture, workloads, multiprogramming
50David K. Tam, Reza Azimi, Livio Soares, Michael Stumm RapidMRC: approximating L2 miss rate curves on commodity systems for online optimizations. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF miss rate curve, performance monitoring unit, shared cache management, chip multiprocessor, dynamic optimization, multicore processor, shared cache, cache management, cache partitioning, hardware performance counters, online optimization
50Yefim Shuf, Ian M. Steiner Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload
50Mohammad Shihabul Haque, Jorgen Peddersen, Andhi Janapsatya, Sri Parameswaran SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF miss rate, simulation, round robin, cache simulation, L1 cache
50Chenjie Yu, Xiangrong Zhou, Peter Petrov Low-power inter-core communication through cache partitioning in embedded multiprocessors. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power cache architectures, low-power cache coherence, MPSoC, on-chip communication
50Jinglei Wang, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001 An Efficient Lightweight Shared Cache Design for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Directory-based Cache Coherence Protocol, Lightweight Shared Cache, Chip Multiprocessors (CMP)
50Bingsheng He, Qiong Luo 0001 Cache-oblivious databases: Limitations and opportunities. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache-conscious, chip multiprocessors, data caches, simultaneous multithreading, Cache-oblivious
50Stavros Harizopoulos, Anastassia Ailamaki Improving instruction cache performance in OLTP. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Instruction cache, cache misses
50Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 An efficient cache design for scalable glueless shared-memory multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF L2, directory structure, glueless shared-memory multiprocessors, cache, cache coherence, memory wall
50Gerth Stølting Brodal, Rolf Fagerberg On the limits of cache-obliviousness. Search on Bibsonomy STOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Cache-oblivious model, tall cache assumption, lower bound, sorting, permuting
50Daranee Hormdee, Jim D. Garside, Stephen B. Furber An Asynchronous Victim Cache. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copy-back cache architecture, asynchronous design, victim cache
50Erez Petrank, Dror Rawitz The hardness of cache conscious data placement. Search on Bibsonomy POPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache conscious data placement, cache conscious code rearrangement, computational complexity, memory management, hardness of approximation
50Tsang-Ling Sheu, Chieh-Hsing Yang A Novel Hierarchical Cache Architecture for WWW Servers. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Cache Digest, WWW, Proxy Servers, ICP, Hierarchical Cache
50Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau Augmenting Loop Tiling with Data Alignment for Improved Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF data cache, Loop tiling, data alignment, cache conflict
50Zhiwen Xu, Xiaoxin Guo, Xiangjiu Che, Zhengxuan Wang, Yunjie Pang The Batch Patching Method Using Dynamic Cache of Proxy Cache for Streaming Media. Search on Bibsonomy GCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Manuel E. Acacio, José González 0002, José M. García 0001, José Duato Owner prediction for accelerating cache-to-cache transfer misses in a cc-NUMA architecture. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi Cache decay: exploiting generational behavior to reduce cache leakage power. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
50Richard E. Ladner, Ray Fortna, Bao-Hoang Nguyen A Comparison of Cache Aware and Cache Oblivious Static Search Trees Using Program Instrumentation. Search on Bibsonomy Experimental Algorithmics The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Hui Li, Kenneth C. Sevcik Exploiting cache affinity in software cache coherence. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
50Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
50Jaume Abella 0001, Antonio González 0001, Xavier Vera, Michael F. P. O'Boyle IATAC: a smart predictor to turn-off L2 cache lines. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF turning off cache lines, low power, Cache memories, L2 cache
50Jamison D. Collins, Dean M. Tullsen Runtime identification of cache conflict misses: The adaptive miss buffer. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF adaptive miss buffer, cache exclusion, prefetching, victim cache, Cache architecture, conflict misses
50Qing Yang 0001, George Thangadurai, Laxmi N. Bhuyan Design of an Adaptive Cache Coherence Protocol for Large Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF adaptive cache coherence protocol, cache-based multiprocessor, cache coherence scheme, memoryarchitecture, protocols, multiprocessor interconnection networks, multistage interconnection network, buffer storage, hierarchical network
50Cheol Hong Kim, Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon Adaptive Block Management for Victim Cache by Exploiting L1 Cache History Information. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Block Management, Low Power, Computer Architecture, Victim Cache
50Kamen Yotov, Thomas Roeder, Keshav Pingali, John A. Gunnels, Fred G. Gustavson An experimental comparison of cache-oblivious and cache-conscious programs. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache-conscious algorithms, memory hierarchy, memory bandwidth, memory latency, numerical software, cache-oblivious algorithms
46Kun-Lung Wu, Philip S. Yu Local Replication for Proxy Web Caches with Hash Routing. Search on Bibsonomy CIKM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Maged M. Michael, Ashwini K. Nanda Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Sanjeev Kumar, Christopher B. Wilkerson Exploiting Spatial Locality in Data Caches Using Spatial Footprints. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
46Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
46Nasir Mohyuddin, Rashed Zafar Bhatti, Michel Dubois 0001 Controlling leakage power with the replacement policy in slumberous caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF tranquility level, leakage power, replacement policy, drowsy cache
46Johnson Kin, Munish Gupta, William H. Mangione-Smith Filtering Memory References to Increase Energy Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF energy-delay, low power, embedded processor, media processor, Filter cache
46Shimin Chen, Anastassia Ailamaki, Phillip B. Gibbons, Todd C. Mowry Improving hash join performance through prefetching. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CPU cache performance, CPU cache prefetching, group prefetching, software-pipelined prefetching, Hash join
46Naveen Muralimanohar, Rajeev Balasubramonian Interconnect design considerations for large NUCA caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache architecture, network-on-chip, interconnect, memory hierarchies, cache models
46Stefanos Kaxiras, Polychronis Xekalakis, Georgios Keramidas A simple mechanism to adapt leakage-control policies to temperature. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid leakage mechanism, thermal adaptation, drowsy cache, cache decay
46Paul Berube, Ashley Zinyk, José Nelson Amaral, Mike H. MacGregor The Bank Nth Chance Replacement Policy for FPGA-Based CAMs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multizone cache, field programmable gate array, cache memories, memory systems, content addressable memories, replacement policy, digital design, Internet routing
46S. Subha A two-type data cache model. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license