The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for compaction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1978 (15) 1979-1982 (16) 1983-1984 (22) 1985-1986 (18) 1987 (18) 1988 (22) 1989 (29) 1990 (36) 1991 (25) 1992 (29) 1993 (34) 1994 (16) 1995 (43) 1996 (33) 1997 (36) 1998 (59) 1999 (50) 2000 (47) 2001 (43) 2002 (50) 2003 (62) 2004 (59) 2005 (64) 2006 (58) 2007 (65) 2008 (69) 2009 (49) 2010 (30) 2011 (32) 2012 (28) 2013 (27) 2014 (28) 2015 (31) 2016 (21) 2017 (20) 2018 (33) 2019 (34) 2020 (39) 2021 (23) 2022 (36) 2023 (38) 2024 (5)
Publication types (Num. hits)
article(590) book(1) incollection(3) inproceedings(889) phdthesis(9)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1030 occurrences of 542 keywords

Results
Found 1492 publication records. Showing 1492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41Irith Pomeranz, Sudhakar M. Reddy An approach for improving the levels of compaction achieved by vector omission. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Alain Darte, Guillaume Huard Loop Shifting for Loop Compaction. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Ruifeng Guo, Irith Pomeranz, Sudhakar M. Reddy On Speeding-Up Vector Restoration Based Static Compaction of Test Sequences for Sequential Circuits . Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Marc Pouzet The Program Compaction Revisited: the Functional Framework. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
41Janusz Rajski, Jerzy Tyszer Test responses compaction in accumulators with rotate carry adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
41Chung-Kuan Cheng, Xiaotie Deng, Yuh-Zen Liao, So-Zen Yao Symbolic layout compaction under conditional design rules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
41Chung-Kuan Cheng, David N. Deutsch, Craig Shohara, Mark Taparauskas, Mark Bubien Geometric compaction on channel routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
41Kurt Mehlhorn, Stefan Näher A faster compaction algorithm with automatic jog insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Pei-Yung Hsiao, Wu-Shiung Feng Using a multiple storage quad tree on a hierarchical VLSI compaction scheme. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41John Valainis, Sinan Kaptanoglu, Erwin Liu, Roberto Suaya Two-dimensional IC layout compaction based on topological design rule checking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Gaétan Hains The Compaction of Acyclic Terms. Search on Bibsonomy PARLE (2) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Implementation, memory management, systolic algorithm
41Hyunchul Shin, Chi-Yuan Lo An Efficient Two-Dimensional Layout Compaction Algorithm. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
41J. Royle, Mikael Palczewski, H. VerHeyen, N. Naccache, Jiri Soukup Geometrical Compaction in One Dimension for Channel Routing. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
41Joseph A. Fisher, David Landskov, Bruce D. Shriver Microcode compaction: looking backward and looking forward. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
41Dennis W. Ting Allocation and compaction - a mathematical model for memory management. Search on Bibsonomy SIGMETRICS The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
40Shabbir H. Batterywala, Sambuddha Bhattacharya, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy Cell Swapping Based Migration Methodology for Analog and Custom Layouts. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Layout migration, compaction, constraint generation
40Sambuddha Bhattacharya, Shabbir H. Batterywala, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy On Efficient and Robust Constraint Generation for Practical Layout Legalization. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Layout legalization, constraint reduction, compaction, constraint generation
40Ta-Te Lu, Kuo-Wei Wen, Pao-Chi Chang Block Reordering Wavelet Packet SPIHT Image Coding. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Block reordering, Energy compaction, image coding, Wavelet packet, SPIHT
40Surendra Bommu, Kiran B. Doreswamy, Srimat T. Chakradhar A Practical Vector Restoration Technique for Large Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF vector restoration, validation and refinement, fault diagnosis, fault simulation, compaction
40Nikolaos G. Bourbakis, Mohammad Mortazavi An efficient building block layout methodology for compact placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF building block layout methodology, compact placement, synthesis placement, GEOMETRIA, geometric reshapings, VLSI regulation, functional performance, connection lines, occupied chip area, neighboring relations, dead space, open holes, channels merging process, legal overlapping, VLSI, formal languages, formal language, network routing, circuit layout CAD, compaction, global routing, integrated circuit layout, integrated circuit interconnections, local routing
37Tomoharu Ugawa, Masahiro Yasugi, Taiichi Yuasa Replication-Based Incremental Compaction. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded system, compaction, real-time garbage collection
37Heon-Mo Koo, Prabhat Mishra 0001 Specification-based compaction of directed tests for functional validation of pipelined processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF processor validation, test compaction
37Doina Logofatu, Rolf Drechsler Comparative Study by Solving the Test Compaction Problem. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Backtracking, Multi-Valued Logic, Test Compaction, Set Cover Problem, Greedy, Don't Cares
37Zheng Wang, D. M. H. Walker Dynamic Compaction for High Quality Delay Test. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic compaction, test generation, delay test, path delay fault
37Ritesh Garg, Richard Putman, Nur A. Touba Increasing Output Compaction in Presence of Unknowns Using an X-Canceling MISR with Deterministic Observation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X-tolerant, Linear Compression, Gaussian Elimination, Response Compaction
37Grzegorz Mrugalski, Janusz Rajski, Chen Wang 0014, Artur Pogiel, Jerzy Tyszer Isolation of Failing Scan Cells through Convolutional Test Response Compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF convolutional compactors, fault diagnosis, test response compaction, scan-based designs
37Sounil Biswas, Ronald D. Blanton Statistical Test Compaction Using Binary Decision Trees. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical test compaction, redundant tests, kept tests, go/no-go testing, heterogeneous devices, binary decision trees
37Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei Unknown-tolerance analysis and test-quality control for test response compaction using space compactors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for test, test response compaction
37Bjorn De Sutter, Bruno De Bus, Koen De Bosschere Link-time binary rewriting techniques for program compaction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF code abstraction, linker, whole-program optimization, compaction, interprocedural analysis, Program representation, binary rewriting
37Irith Pomeranz, Sudhakar M. Reddy Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronous sequential circuits, test application time, Static test compaction
37Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Scan-Based Designs to Reduce Test Application Time. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scan circuits, test application time, static test compaction
37Toshiyuki Maeda, Kozo Kinoshita Compaction of IDDQ Test Sequence Using Reassignment Method. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reassignment method, weighted random vector, sequential circuit, IDDQ testing, test compaction
37Xijiang Lin, Wu-Tung Cheng, Irith Pomeranz, Sudhakar M. Reddy SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test Segment, Parallel Pattern Simulator, Vector Restoration, Single Fault Restoration, Fault Coverage, Synchronous Sequential Circuits, Test Length, Static Test Compaction
37Ruifeng Guo, Irith Pomeranz, Sudhakar M. Reddy Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits Based on Vector Restoration. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF static test compaction synchronous sequential circuits
37Nicola Dragone, Roberto Zafalon, Carlo Guardiani, Cristina Silvano Power invariant vector compaction based on bit clustering and temporal partitioning. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power VLSI design, vector compaction, Markov chains, power estimation
37Seiji Kajihara, Kewal K. Saluja On Test Pattern Compaction Using Random Pattern Fault Simulation. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test generation, combinational circuit, fault simulation, stuck-at fault, test compaction
37Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Fast Algorithms for Static Compaction of Sequential Circuit Test Vectors. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF inert subsequence, recurrence subsequence, test set compaction
37Elizabeth M. Rudnick, Janak H. Patel Simulation-based techniques for dynamic test sequence compaction. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic test compaction, compact test sets, genetic algorithms, sequential circuit test generation
37Sunil R. Das, Nishith Goel, Wen-Ben Jone, Amiya R. Nayak Syndrome signature in output compaction for VLSI BIST. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF syndrome signature, output compaction, VLSI BIST, input patterns, n-input combinational circuit, primary syndrome, subsyndromes, subfunctions, single-output circuit, multiple output, VLSI, logic testing, data compression, built-in self test, integrated circuit testing, combinational circuits, switching functions, exhaustive testing
37Slawomir Pilarski, André Ivanov, Tiko Kameda On minimizing aliasing in scan-based compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, scan path, test response compaction
37Srinivas Devadas, Kurt Keutzer, Sharad Malik A synthesis-based test generation and compaction algorithm for multifaults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Iogic synthesis, test generation, multiple fault, test compaction
35Shuohao Zhang, Curtis E. Dyreson, Zhe Dang Compacting XML Data. Search on Bibsonomy DASFAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Lih-Yang Wang, Yen-Tai Lai Graph-theory-based simplex algorithm for VLSI layout spacingproblems with multiple variable constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Youtao Zhang, Rajiv Gupta 0001 Timestamped Whole Program Path Representation and its Applications. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Ioannis Voyiatzis An Accumulator-Based Compaction Scheme For Online BIST of RAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Michael Westergaard, Lars Michael Kristensen, Gerth Stølting Brodal, Lars Arge The ComBack Method - Extending Hash Compaction with Backtracking. Search on Bibsonomy ICATPN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Gert Jervan, Elmet Orasson, Helena Kruus, Raimund Ubar Hybrid BIST Optimization Using Reseeding and Test Set Compaction. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Aiman H. El-Maleh, S. Saqib Khursheed, Sadiq M. Sait Efficient Static Compaction Techniques for Sequential Circuits Based on Reverse-Order Restoration and Test Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Christian Galke, U. Gätzschmann, Heinrich Theodor Vierhaus Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Masayasu Fukunaga, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato A dynamic test compaction procedure for high-quality path delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Yoshinobu Higami, Kewal K. Saluja, Hiroshi Takahashi, Shin-ya Kobayashi, Yuzo Takamatsu Compaction of pass/fail-based diagnostic test vectors for combinational and sequential circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Keiji Kida, Takehiko Matsuo, Tetsuya Tashiro, Shigetoshi Nakatake Sequence-Pair Based Compaction under Equi-Length Constraint. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Harald P. E. Vranken, Sandeep Kumar Goel, Andreas Glowatz, Jürgen Schlöffel, Friedrich Hapke Fault detection and diagnosis with parity trees for space compaction of test responses. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault diagnosis, fault detection, test data compression
32Aiman H. El-Maleh, S. Saqib Khursheed, Sadiq M. Sait Efficient Static Compaction Techniques for Sequential Circuits Based on Reverse Order Restoration and Test Relaxation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Irith Pomeranz, Sudhakar M. Reddy Dynamic Test Compaction for Bridging Faults. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Yongfeng Ju, Guangfeng Lin, Yindi Fan, Zongyi Liu Intelligent Compaction Control Based on Fuzzy Neural Network. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Subhasish Mitra, Kee Sup Kim X-compact: an efficient response compaction technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32O. N. Malykh, Yu. S. Shakhnovskii Optimization of a Data Dependence Graph for the Local Microcode Compaction Problem. Part 1: Problem Statement. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32O. N. Malykh, Yu. S. Shakhnovskii Optimization of a Data Dependence Graph for the Local Microcode Compaction Problem. Part 2: Algorithms and Experimental Verification. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Michael G. Dimopoulos, Panagiotis Linardis Efficient Static Compaction of Test Sequence Sets through the Application of Set Covering Techniques. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Yinhe Han 0001, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Irith Pomeranz, Sudhakar M. Reddy Transparent scan: a new approach to test generation and test compaction for scan circuits that incorporates limited scan operations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Irith Pomeranz, Sudhakar M. Reddy A New Approach to Test Generation and Test Compaction for Scan Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Yinhe Han 0001, Yongjun Xu, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Teste. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Petros Drineas, Yiorgos Makris Independent Test Sequence Compaction through Integer Programming. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32James Wingfield, Jennifer Dworak, M. Ray Mercer Function-Based Dynamic Compaction and its Impact on Test Set Sizes. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Janusz Rajski, Jerzy Tyszer, Chen Wang 0014, Sudhakar M. Reddy Convolutional Compaction of Test Responses. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Full-Scan Circuits Based on Combinational Test Sets and Non-Scan Sequential Test Sequences. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Sunil R. Das, Mansour H. Assaf, Emil M. Petriu, Sujoy Mukherjee Design of Aliasing Free Space Compressor in BIST with Maximal Compaction Ratio Using Concepts of Strong and Weak Compatibilities of Response Data Outputs and Generalized Sequence Mergeability. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Chih-Yang Hsu, Chaur-Wen Wei, Wen-Zen Shen A pattern compaction technique for power estimation based on power sensitivity information. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Gunnar W. Klau, Petra Mutzel Combining Graph Labeling and Compaction. Search on Bibsonomy GD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Krishnendu Chakrabarty, John P. Hayes Zero-aliasing space compaction of test responses using multiple parity signatures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Krishnendu Chakrabarty, John P. Hayes On the quality of accumulator-based compaction of test responses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Xiaoling Sun, Wes Tutak Error Identification and Data Recovery in MISR-based Data Compaction. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Miroslaw Kutylowski, Tomasz Wierzbicki Approximate Compaction and Padded-Sorting on Exclusive Write PRAMs. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32André Ivanov, Yervant Zorian Count-based BIST compaction schemes and aliasing probability computation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
32Hyunchul Shin, Alberto L. Sangiovanni-Vincentelli, Carlo H. Séquin 'Zone-refining' techniques for IC layout compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32J. L. Linn, C. D. Ardoin All example of using pseudofields to eliminate version shuffling in horizontal code compaction. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Shantanu Ganguly, Vijay Pitchumani Compaction of a Routed Channel on the Connection Machine. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Micaela Serra, Jon C. Muzio Space compaction for multiple-output circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Wayne H. Wolf, Robert G. Mathews, John A. Newkirk, Robert W. Dutton Algorithms for optimizing, two-dimensional symbolic layout compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Werner L. Schiele Compaction with Incremental Over-Constraint Resolution. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
32D. B. Polkl A Three-Layer Gridless Channel Router with Compaction. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
31Tomoharu Ugawa, Hideya Iwasaki, Taiichi Yuasa Improved replication-based incremental garbage collection for embedded systems. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded systems, garbage collection, fragmentation, compaction, real-time garbage collection
31Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
31Dominique Chanet, Bjorn De Sutter, Bruno De Bus, Ludo Van Put, Koen De Bosschere Automated reduction of the memory footprint of the Linux kernel. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF operating system, compression, compaction, specialization, system calls, Linux kernel
31Jing Wang 0006, Duncan M. Hank Walker, Xiang Lu, Ananta K. Majhi, Bram Kruseman, Guido Gronthoud, Luis Elvira Villagra, Paul J. A. M. van de Wiel, Stefan Eichenberger Modeling Power Supply Noise in Delay Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power supply noise model, filling, delay test, compaction
31Max H. Garzon, Kiranchand V. Bobba, Andrew Neel Efficiency and Reliability of Semantic Retrieval in DNA-Based Memories. Search on Bibsonomy DNA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DNA-based memories, optimal concentration, data mining in vitro, pattern classification, semantic retrieval, data compaction
31Ming-Der Shieh, Hsin-Fu Lo, Ming-Hwa Sheu High-speed generation of LFSR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-speed generation, LFSR signatures, compaction simulation, single-input signature register, equivalent multiple-input implementation, finite field theory, high-speed signature computations, lookahead technique, internal-XOR LFSR, external-XOR LFSR, performance evaluation, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, BIST, linear feedback shift register, binary sequences, subsequences
29Lingzhe Zhang, Xiang-Dong Huang, Yan-Kai Wang, Jialin Qiao, Shaoxu Song, Jian-Min Wang Time-tired compaction: An elastic compaction scheme for LSM-tree based time-series database. Search on Bibsonomy Adv. Eng. Informatics The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
29Junkai Yao, Mao Yue, Hongsheng Ma, Changwei Yang Wave Propagation Characteristics and Compaction Status of Subgrade during Vibratory Compaction. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Rajitha Ranasinghe, Arooran Sounthararajah, Jayantha Kodikara 0001 An Intelligent Compaction Analyzer: A Versatile Platform for Real-Time Recording, Monitoring, and Analyzing of Road Material Compaction. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Ruicheng Liu, Peiquan Jin, Xiaoliang Wang, Yongping Luo, Zhaole Chu, Yigui Yuan Closing the Performance Gap between Leveling and Tiering Compaction via Bundle Compaction. Search on Bibsonomy HPDC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Jiajun Wang, Denghua Zhong, Binping Wu, Mengnan Shi Evaluation of Compaction Quality Based on SVR with CFA: Case Study on Compaction Quality of Earth-Rock Dam. Search on Bibsonomy J. Comput. Civ. Eng. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Narayan Vikas Computational Complexity Relationship between Compaction, Vertex-Compaction, and Retraction. Search on Bibsonomy J. Discrete Algorithms The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Fengfeng Pan, Yinliang Yue, Jin Xiong dCompaction: Speeding up Compaction of the LSM-Tree via Delayed Compaction. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
29Narayan Vikas Computational Complexity Relationship between Compaction, Vertex-Compaction, and Retraction. Search on Bibsonomy IWOCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
29Narayan Vikas Algorithms for Partition of Some Class of Graphs under Compaction and Vertex-Compaction. Search on Bibsonomy Algorithmica The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Tarun Kumar Goyal, Amarpal Singh, Rahul Aggarwal Efficient selective compaction and un-compaction of inconsequential logical design units in the schematic representation of a design. Search on Bibsonomy EWDTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1492 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license