The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Martin Bednarzik, Heinz-Ulrich Scheunemann, Alexander Barth, Daniel Schondelmaier, Bernd Loechel First Results in Patterning of Ultra High Aspect Ratio Microstructures by a 4T Wave Length Shifter at BESSY. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Mark A. Lavin, Lars Liebmann CAD computation for manufacturability: can we save VLSI technology from itself? Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Edward W. Scheckler, Alexander S. Wong, Robert K. Wang, Goodwin R. Chin, John R. Camagna, Andrew R. Neureuther, Robert W. Dutton A utility-based integrated system for process simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Xi-Wei Lin, Victor Moroz Layout Proximity Effects and Modeling Alternatives for IC Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility, CMOS, layout, variability, extraction, proximity, design and test, stress, lithography, threshold voltage, compact model
18Linda Dailey Paulson HP Announces Breakthrough in Affordable, Flexible Displays. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Optical chips, Chalcogenide, Optical time-division multiplexing, Imprint lithography, Thin-film displays, Supercomputer, High-speed networks, Flexible displays
18Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qinke Wang Lens aberration aware placement for timing yield. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout, design for manufacturing, lithography, timing yield
18Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
18Siew-Hong Teh, Chun-Huat Heng, Arthur Tay Design-process integration for performance-based OPC framework. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-process integration, mask design, OPC, lithography, circuit performance
18Andrew B. Kahng, Swamy Muddu, Puneet Sharma Detailed placement for leakage reduction using systematic through-pitch variation. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF aCLV, through-pitch, leakage, lithography, detailed placement
18Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Immersion lithography, Spam, Malware, E-mail, Botnets, Hackers, Semiconductors
18Adriano Cavalcanti, Warren W. Wood, Luiz C. Kretly, Bijan Shirinzadeh Computational Nanomechatronics: A Pathway for Control and Manufacturing Nanorobots. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF electromagnetic sensors, nanorobots, nanotubes, NEMS, photonic, nanotechnology, Control systems, lithography, environmental monitoring, mechatronics
18Andrew B. Kahng, Swamy Muddu, Puneet Sharma Defocus-aware leakage estimation and control. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ACLV, yield, leakage, lithography
18Luigi Capodieci, Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 Toward a methodology for manufacturability-driven design rule exploration. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI manufacturability, process variation, yield, OPC, lithography, RET
18Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Selective gate-length biasing for cost-effective runtime leakage control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, layout, manufacturability, leakage, OPC, lithography
18Kevin W. McCullen Phase correct routing for alternating phase shift masks. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF resolution enhancement techniques (RET), routing, layout, lithography
18Puneet Gupta 0001, Fook-Luen Heng Toward a systematic-variation aware timing methodology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ACLV, layout, manufacturability, OPC, lithography
18Li-Da Huang, Martin D. F. Wong Optical proximity correction (OPC): friendly maze routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF micro-lithography, optical system, VLSI, manufacturing, OPC, maze routing
18Gary H. Bernstein Quantum-dot cellular automata: computing by field polarization. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF electron beam lithography, nanofabrication, quantum-dot cellular automata, quantum dots
18Vipul Singhal, C. B. Keshav, K. G. Surnanth, P. R. Suresh Transistor Flaring in Deep Submicron-Design Considerations. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Deep Submicron (DSM), pullback, photolithography, Subwavelength-lithography, Optical Proximity Correction (OPC), SPICE-models, standard-ce1l library, Design for Manufacturability (DFM)
16Masaki Kuramochi, Yukihide Kohira, Hiroyoshi Tanabe, Tetsuaki Matsunawa, Chikaaki Kodama Development of a Lithography Simulation Tool Set in Various Optical Conditions for Source Mask Optimization. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Mark O. Neisser, Ndubuisi G. Orji, Harry J. Levinson, Umberto Celano, James R. Moyne, Supika Mashiro, Dan Wilcox, Slava Libman How Lithography and Metrology Are Enabling Yield in the Next Generation of Semiconductor Patterning. Search on Bibsonomy Computer The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Binwu Zhu, Su Zheng, Ziyang Yu, Guojin Chen, Yuzhe Ma, Fan Yang 0001, Bei Yu 0001, Martin D. F. Wong L2O-ILT: Learning to Optimize Inverse Lithography Techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Jingyu Pan, Xuezhong Lin, Jinming Xu 0002, Yiran Chen 0001, Cheng Zhuo Lithography Hotspot Detection Based on Heterogeneous Federated Learning With Local Adaptation and Feature Selection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Ali Raza, Zubair Saeed, Adnan Aslam, Syeda Mehwish Nizami, Kanwal Habib, Ahmad Nazir Malik Advances, Application and Challenges of Lithography Techniques. Search on Bibsonomy ICACS The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Su-Min Kim, Jae-wook Jeon Methodology for Lithography Hotspot Detection using ResNet50V2 and Model soups. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Gaku Kataoka, Masahiro Yamamoto, Masato Inagi, Shinobu Nagayama, Shin'ichi Wakabayashi Feature Vectors Based on Wire Width and Distance for Lithography Hotspot Detection. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Muhammad Qasim Mehmood, Muhammad Hamza Zulfiqar, Amit Kumar Goyal, Muhammad Shumail Malik, Wasif Tanveer Khan, Muhammad Atif Khan, Muhammad Zubair 0002, Yehia Massoud Lithography-Based Fabricated Capacitive Pressure Sensitive Touch Sensors for Multimode Intelligent HMIs. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Wenze Yao, Haojie Zhao, Chengyang Hou, Wei Liu, Hongcheng Xu, Xin Zhang 0055, Jing Xiao, Jie Liu Efficient Proximity Effect Correction Using Fast Multipole Method With Unequally Spaced Grid for Electron Beam Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hao-Chiang Shao, Hsing-Lei Ping, Kuo-Shiuan Chen, Weng-Tai Su, Chia-Wen Lin, Shao-Yun Fang, Pin-Yian Tsai, Yan-Hsiu Liu Keeping Deep Lithography Simulators Updated: Global-Local Shape-Based Novelty Detection and Active Learning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Qing Zhang, Yuhang Zhang, Wei Lu, Huajie Huang, Zheng Zhong, Congshu Zhou, Yongfu Li Litho-AsymVnet: super-resolution lithography modeling with an asymmetric V-net architecture. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Wenze Yao, Hongcheng Xu, Haojie Zhao, Ming Tao, Jie Liu Fast and accurate proximity effect correction algorithm based on pattern edge shape adjustment for electron beam lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xing-Yu Ma, Shaogang Hao Inverse Lithography Physics-informed Deep Neural Level Set for Mask Optimization. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hamed Alemansour, S. O. Reza Moheimani Model-based Control of the Scanning Tunneling Microscope: Enabling New Modes of Imaging, Spectroscopy, and Lithography. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Cheng Zheng, Guangyuan Zhao, Peter T. C. So Neural Lithography: Close the Design-to-Manufacturing Gap in Computational Optics with a 'Real2Sim' Learned Photolithography Simulator. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shimpei Nishiyama, Kimihiko Kato, Yongxun Liu, Raisei Mizokuchi, Jun Yoneda, Tetsuo Kodera, Takahiro Mori Single-Electron Transistor Operation of a Physically Defined Silicon Quantum Dot Device Fabricated by Electron Beam Lithography Employing a Negative-Tone Resist. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Raudah Abu Bakar, Harnani Hassan, Sukreen Hana Herman Patterning of Monolithic Integrated Circuit using Electron Beam Lithography. Search on Bibsonomy ICSET The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hyeong-Min Kim, Young-Ho Nam, Seung-Chul Yang, Jae-Hyoung Park, Seung-Ki Lee Fabrication of Nanostructures on Optical Fibers Using Nanosphere Lithography for Biosensing. Search on Bibsonomy SENSORS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Haoyu Yang, Haoxing Ren Enabling Scalable AI Computational Lithography with Physics-Inspired Models. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Isabella Fiorello, Alessio Mondini, Barbara Mazzolai Climbing mini-machines using plant-inspired micropatterned adhesive wheels fabricated via two-photon lithography. Search on Bibsonomy RoboSoft The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Marcel Heertjes, Jazmin Zenteno Torres, Mohammad Al Janaideh Fourth-Order Reference Trajectories in Lithography Stages with Weakly-Damped Modes - a Frequency-Domain Perspective. Search on Bibsonomy CDC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Amos Bardea Pattern Genomic Probes Inside Capillary Tubes by Magneto Lithography Method Producing Parallel Detection of DNA and RNA. Search on Bibsonomy BIODEVICES The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Burn J. Lin Immersion and EUV Lithography: Two Pillars to Sustain Single-Digit Nanometer Nodes. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kyoung-Whan Oh, Takashi Sasa, Seok Heo, Daejung Kim, Ouiserg Kim, Jung-Hyeon Kim Reduction of Bubble-Induced Defect in Semiconductor Lithography Process. Search on Bibsonomy TFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Muyang Zhang, Haonan Li, Zhiqing Xiao, Zitao Feng, Shangneng Yu, Zejingqiu Chen, Huiru Zhang, Weijin Guo Fabrication of Concave Microwells and Microchannels by Off-stoichiometry Thiol-ene (OSTE) Backside Lithography. Search on Bibsonomy NEMS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mingjie Liu, Haoyu Yang, Brucek Khailany, Haoxing Ren An Adversarial Active Sampling-Based Data Augmentation Framework for AI-Assisted Lithography Modeling. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Cheng Zheng, Guangyuan Zhao, Peter T. C. So Close the Design-to-Manufacturing Gap in Computational Optics with a 'Real2Sim' Learned Two-Photon Neural Lithography Simulator. Search on Bibsonomy SIGGRAPH Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Su Zheng, Bei Yu 0001, Martin D. F. Wong OpenILT: An Open Source Inverse Lithography Technique Framework (Invited Paper). Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Su Zheng, Haoyu Yang, Binwu Zhu, Bei Yu 0001, Martin D. F. Wong LithoBench: Benchmarking AI Computational Lithography for Semiconductor Manufacturing. Search on Bibsonomy NeurIPS The full citation details ... 2023 DBLP  BibTeX  RDF
16Omari Paul, Sakib Abrar, Richard Mu, Riadul Islam, Manar D. Samad Deep Image Segmentation for Defect Detection in Photo-lithography Fabrication. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yuting Li, Ping Luo, Yunfeng Peng, Zhiyuan Liu Model Free iterative learning for table motion control of lithography machine. Search on Bibsonomy ICISE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yu Zhang, Yifan Chen, Zhonglin Xie, Hong Xu 0001, Zaiwen Wen, Yibo Lin, Bei Yu 0001 LRSDP: Low-Rank SDP for Triple Patterning Lithography Layout Decomposition. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Shuyuan Sun, Fan Yang 0001, Bei Yu 0001, Li Shang, Xuan Zeng 0001 Efficient ILT via Multi-level Lithography Simulation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jia Liu, Qun Yu Xu, Min Su, Ning Fang, Bao Fa Wang A Hybrid Eigenmode Restoration Algorithm for Computational Lithography Problems Based on Mode Matching Principle. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Mária Domonkos, Alexander Kromka Nanosphere Lithography-Based Fabrication of Spherical Nanostructures and Verification of Their Hexagonal Symmetries by Image Analysis. Search on Bibsonomy Symmetry The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Johannes Fiedler, Adrià Salvador Palau, Eivind Kristen Osestad, Pekka Parviainen, Bodil Holst Realistic mask generation for matter-wave lithography via machine learning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hao-Chiang Shao, Hsing-Lei Ping, Kuo-Shiuan Chen, Weng-Tai Su, Chia-Wen Lin, Shao-Yun Fang, Pin-Yian Tsai, Yan-Hsiu Liu Keeping Deep Lithography Simulators Updated: Global-Local Shape-Based Novelty Detection and Active Learning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
16Haoyu Yang, Zongyi Li, Kumara Sastry, Saumyadip Mukhopadhyay, Mark Kilgard, Anima Anandkumar, Brucek Khailany, Vivek Singh, Haoxing Ren Generic Lithography Modeling with Dual-band Optics-Inspired Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Junqing Chen, Haibo Liu An alternating direction method of multipliers for inverse lithography problem. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kanji Suzuki, Manabu Hakko Improved Resolution Enhancement Technique for Broadband Illumination in Flat Panel Display Lithography. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yuki Taoka, Kohei Kawabata, Pasomphone Hemthavy, Seungman Choi, Kunio Takahashi, Shigeki Saito Development of Bipolar Electrostatic Chuck with a Beam-Array Assembly Fabricated by Lithography. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yvonne Bergmann, Michael Leippert, Juergen Kuehnle, Johannes Kristan, Sven Erik Jeroschewski, Lukas Römer, Paul Knaus, Axel Wogawa An IoT Solution for Condition Monitoring of a Lithography Coater Tool in a Semiconductor Fab. Search on Bibsonomy ICPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kritanta Saha, Pritha Banerjee 0001, Susmita Sur-Kolay Stitch-avoiding Detailed Routing for Multiple E-Beam Lithography. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Xuezhong Lin, Jingyu Pan, Jinming Xu 0002, Yiran Chen 0001, Cheng Zhuo Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jun Ying Tan, Yuankai Li, Punit Prakash, Bala Natarajan, Jungkwun J. K. Kim Fabrication of Solid Microneedle using Multi-slit Diffraction UV Lithography. Search on Bibsonomy NEMS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Qipan Wang, Xiaohan Gao, Yibo Lin, Runsheng Wang, Ru Huang DeePEB: A Neural Partial Differential Equation Solver for Post Exposure Baking Simulation in Lithography. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Jingyu Pan, Chen-Chia Chang, Zhiyao Xie, Jiang Hu, Yiran Chen 0001 Robustify ML-Based Lithography Hotspot Detectors. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Mohamed Tarek Ismail, Hossam Sharara, Kareem Madkour, Karim G. Seddik Autoencoder-Based Data Sampling for Machine Learning-Based Lithography Hotspot Detection. Search on Bibsonomy MLCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Shuyuan Sun, Yiyang Jiang, Fan Yang 0001, Xuan Zeng 0001 Adversarial Sample Generation for Lithography Hotspot Detection. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kritanta Saha, Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay Stitch-avoiding Global Routing for Multiple E-Beam Lithography. Search on Bibsonomy VLSID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Haoyu Yang, Zongyi Li, Kumara Sastry, Saumyadip Mukhopadhyay, Mark Kilgard, Anima Anandkumar, Brucek Khailany, Vivek Singh, Haoxing Ren Generic lithography modeling with dual-band optics-inspired neural networks. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Youngsoo Shin Computational Lithography Using Machine Learning Models. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay A study on flare minimisation in EUV lithography by post-layout re-allocation of wire segments. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Xu Ma, Yihua Pan, Shengen Zhang, Javier Garcia-Frías, Gonzalo R. Arce Informational Lithography Approach Based on Source and Mask Optimization. Search on Bibsonomy IEEE Trans. Computational Imaging The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yi-Ting Lin, Iris Hui-Ru Jiang Novel Guiding Template and Mask Assignment for DSA-MP Hybrid Lithography Using Multiple BCP Materials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yun-Jhe Jiang, Kuo-Hao Wu, Shao-Yun Fang Manufacturability Enhancement With Dummy via Insertion for DSA-MP Lithography Using Multiple BCP Materials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yindong Xiao, XueQian Huang, Ke Liu 0005 Model Transferability from ImageNet to Lithography Hotspot Detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Irene Bernardeschi, Muhammad Ilyas, Lucia Beccai A Review on Active 3D Microstructures via Direct Laser Lithography. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Xuezhong Lin, Jingyu Pan, Jinming Xu 0002, Yiran Chen 0001, Cheng Zhuo Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Yazan Mohammad Al-Rawashdeh, Mohammad Al Janaideh, Marcel Heertjes On Synchronization of Generic Lithography Machine Open-chains using a Novel Fine-Positioning Stage System. Search on Bibsonomy CCTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Xuanyu Huang, Rui Zhang, Yu Huang, Peiyao Wang, Mei Li Enhancements of Model and Method in Lithography Hotspot Identification. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Marc Gmeiner, Wilfried Lepuschitz, Munir Merdan, Maximilian Lackner Ontology of Lithography-Based Processes in Additive Manufacturing with Focus on Ceramic Materials. Search on Bibsonomy ICO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yazan Mohammad Al-Rawashdeh, Mahmoud Al-Tamimi, Marcel Heertjes, Mohammad Al Janaideh Micro-Positioning End-Stage for Precise Multi-Axis Motion Control in Optical Lithography Machines: Preliminary Results. Search on Bibsonomy ACC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Dongjo Yoon, Jaejung Son, Je-Kyun Park, Yoonkey Nam Development of the micro-patterned 3D neuronal-hydrogel model using soft-lithography for study a 3D neural network on a microelectrode array*. Search on Bibsonomy EMBC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Menghan Xiong, Ningning Luo, Zhimin Zhang, Qingwang Meng Factors Influencing Resolution of Optical Fiber End Face Processing in Digital Lithography. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Hantao Xu, Lianhuan Han, Bingqian Du, Yang Wang, Zhen Ma, Zhong-Qun Tian, Zhao-Wu Tian, Dongping Zhan Electrochemical Nanoimprint Lithography. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Luming Wang, Zhimin Zhang, Ningning Luo, Haifeng Xiao, Long Ma, Qingwang Meng A Low-Cost Digital Lithography System Supporting Visual Focusing and its Application on Optical Fiber. Search on Bibsonomy NEMS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Mohamed Baker Alawieh, David Z. Pan ADAPT: An Adaptive Machine Learning Framework with Application to Lithography Hotspot Detection. Search on Bibsonomy MLCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yifeng Xiao, Miaodi Su, Haoyu Yang, Jianli Chen, Jun Yu 0010, Bei Yu 0001 Low-Cost Lithography Hotspot Detection with Active Entropy Sampling and Model Calibration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Kerim Tugrul Arat Simulation of Electron-Matter Interaction in Electron Beam Lithography and Metrology. Search on Bibsonomy 2021   RDF
16Samir Mekid Integrated Nanomanipulator With In-Process Lithography Inspection. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Lei Ren, Zhipeng Chen, Hongjian Wang, Zulin Dou, Bin Liu 0046, Lelun Jiang Fabrication of Bendable Microneedle-Array Electrode by Magnetorheological Drawing Lithography for Electroencephalogram Recording. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Marzieh Khakifirooz, Chen Fu Chien 0001, Mahdi Fathi 0001, Panos M. Pardalos Minimax Optimization for Recipe Management in High-Mixed Semiconductor Lithography Process. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Daifeng Guo, Hongbo Zhang 0001, Martin D. F. Wong On Coloring Rectangular and Diagonal Grid Graphs for Multipatterning and DSA Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Haoyu Yang, Shuhe Li, Zihao Deng, Yuzhe Ma, Bei Yu 0001, Evangeline F. Y. Young GAN-OPC: Mask Optimization With Lithography-Guided Generative Adversarial Nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Xu He, Yu Deng, Shizhe Zhou, Rui Li 0019, Yao Wang 0002, Yang Guo 0003 Lithography Hotspot Detection with FFT-based Feature Extraction and Imbalanced Learning Rate. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Dehia Ait-Ferhat, Vincent Juliard, Gautier Stauffer, J. Andres Torres Combining lithography and Directed Self Assembly for the manufacturing of vias: Connections to graph coloring problems, integer programming formulations, and numerical experiments. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yingzhi Wang, Tailin Han, Xu Jiang, Yuhan Yan, Hong Liu Path Planning of Pattern Transfer Based on Dual-Operator and a Dual-Population Ant Colony Algorithm for Digital Mask Projection Lithography. Search on Bibsonomy Entropy The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mohammad Rashidi, Jeremiah Croshaw, Kieran Mastel, Marcus Tamura, Hedieh Hosseinzadeh, Robert A. Wolkow Deep learning-guided surface characterization for autonomous hydrogen lithography. Search on Bibsonomy Mach. Learn. Sci. Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Amar M. Kamat, Bayu Jayawardhana, Ajay Giri Prakash Kottapalli PDMS Flow Sensors With Graphene Piezoresistors Using 3D-Printing and Soft Lithography. Search on Bibsonomy IEEE SENSORS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Chi Chung Li, Joseph Toombs, Hayden Taylor Tomographic color Schlieren refractive index mapping for computed axial lithography. Search on Bibsonomy SCF The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license