The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase low-voltage (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (22) 1995 (26) 1996 (22) 1997 (30) 1998 (53) 1999 (77) 2000 (60) 2001 (90) 2002 (111) 2003 (132) 2004 (96) 2005 (132) 2006 (137) 2007 (126) 2008 (150) 2009 (112) 2010 (111) 2011 (119) 2012 (131) 2013 (138) 2014 (132) 2015 (149) 2016 (145) 2017 (151) 2018 (152) 2019 (181) 2020 (160) 2021 (151) 2022 (139) 2023 (135) 2024 (33)
Publication types (Num. hits)
article(1282) book(4) data(4) incollection(4) inproceedings(2092) phdthesis(17)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 374 occurrences of 222 keywords

Results
Found 3403 publication records. Showing 3403 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Ding-Ming Kwai, Ching-Hua Hsiao, Chung-Ping Kuo, Chi-Hsien Chuang, Min-Chung Hsu, Yi-Chun Chen, Yu-Ling Sung, Hsien-Yu Pan, Chia-Hsin Lee, Meng-Fan Chang, Yung-Fa Chou SRAM Cell Current in Low Leakage Design. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Fady Abouzeid, Sylvain Clerc, Fabian Firmin, Marc Renaudin, Gilles Sicard A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bose choudhury hocquenghem, design, low power, methodology, logic, energy, cmos, library, circuit, subthreshold, ultra low voltage
29Martin Margala, Srdjan Dragic, Ahmed El-Abasiry, Samuel Ekpe, Viera Stopjaková I-V Fast IDDQ Current Sensor for On-Line Mixed-Signal/Analog Test. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Testing, Sensors, Iddq, Ultra-Low-Voltage, Current
29Christian Falconi, Marco Fratini, Arnaldo D'Amico, Giuseppe Scotti, Alessandro Trifiletti Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Leila Koushaeian, Stan Skafidas A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bandgap voltage reference, self-biased, self-cascode, temperature coefficient, voltage reference
29Kaushik Bhattacharyya, Pradip Mandal A Low Voltage, Low Ripple, on Chip, Dual Switch-Capacitor Based Hybrid DC-DC Converter. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Yueming Jiang, Edward K. F. Lee A low voltage low 1/f noise CMOS bandgap reference. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Quoc-Hoang Duong, Trung-Kien Nguyen, Sang-Gug Lee 0001 Low-voltage low-power high dB-linear CMOS exponential function generator using highly-linear V-I converter. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VGA, dB-linear, exponential V-I converter
29Juan Antonio Gómez Galán, Ramón González Carvajal, Fernando Muñoz 0001, Antonio Jesús Torralba Silgado, Jaime Ramírez-Angulo A low-power low-voltage OTA-C sinusoidal oscillator with more than two decades of linear tuning range. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Yngvar Berg, Omid Mirmotahari Low voltage precharge CMOS logic. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Ta-Tao Hsu, Chien-Nan Kuo Low voltage 2-mW 6~10.6-GHz ultra-wideband CMOS mixer with active balun. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28James B. Kuo Evolution of Bootstrap Techniques in Low-Voltage CMOS Digital VLSI Circuits for SoC Applications, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Pradeep Varma, Ashutosh Chakraborty Low-Voltage, Double-Edge-Triggered Flip Flop. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Mehdi H. Kazemeini, M. Jamal Deen, Susan Nuseh Phase noise in a back-gate biased low-voltage VCO. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Hassan O. Elwan, Weinan Gao, Roberto Sadkowski, Mohammed Ismail 0001 A low voltage CMOS class AB operational transconductance amplifier. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Tsung-Yu Yang, Hsin-Lung Tu, Hwann-Kaeo Chiou Low-voltage high-linear and isolation transformer based mixer for direct conversion receiver. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Koichi Nose, Soo-Ik Chae, Takayasu Sakurai Voltage dependent gate capacitance and its impact in estimating power and delay of CMOS digital circuits with low supply voltage (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF gate capacitance, low supply voltage, low-power design
28T. Hasan, Torsten Lehmann, Chee Yee Kwok A 5V charge pump in a standard 1.8-V 0.18-µm CMOS process. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Liviu Chiaburu, Svante Signell A method to reduce power consumption in pipelined A/D converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28David Wolpert 0001, Bo Fu, Paul Ampadu Temperature-Aware Delay Borrowing for Energy-Efficient Low-Voltage Link Design. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay borrowing, energy-efficient, interconnect, Temperature, low voltage
28Yngvar Berg Low Voltage Semi Floating-Gate Binary to Multiple-Value and Multiple-Value to Binary Converters. Search on Bibsonomy ISMVL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS, low-voltage, converters
28Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flash adc, nano-cmos, ti comparator, process variation, analog-to-digital converter, low voltage, high speed
28Hwang-Cherng Chow, Pu-Nan Weng A Low Voltage Rail-to-Rail OPAMP Design for Biomedical Signal Filtering Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rail-to-rail, current driven bulk, filter, low voltage, biomedical signal
28Jaydeep P. Kulkarni, Keejong Kim, Sang Phill Park, Kaushik Roy 0001 Process variation tolerant SRAM array for ultra low voltage applications. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Schmitt trigger SRAM, low voltage/sub-threshold SRAM, process tolerance
28Pablo Aguirre, Fernando Silveira Bias circuit design for low-voltage cascode transistors. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS, low voltage, analog design
28Haihua Yan, Gefu Xu, Adit D. Singh Low Voltage Test in Place of Fast Clock in DDSI Delay Test. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay test, defect, ATE, low voltage test
28Jing-Hong Conan Zhan, Jon S. Duster, Kevin T. Kornegay A comparative study of MOS VCOs for low voltage high performance operation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FOM, low power, low voltage, VCO, phase noise, RF design
28Piet Engelke, Ilia Polian, Michel Renovell, Bharath Seshadri, Bernd Becker 0001 The Pros and Cons of Very-Low-Voltage Testing: An Analysis based on Resistive Bridging Faults. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Very-Low-Voltage testing, Resistive short defects
27Martin Saint-Laurent, Animesh Datta A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock gater, clock gating cell, local clock buffer, set-reset latch
27Walter Aloisi, Gianluca Giustolisi, Gaetano Palumbo Design of low-voltage low-power SC filters for high-frequency applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Christian Falconi, Arnaldo D'Amico, Marco Faccio Design of accurate analog circuits for low voltage low power CMOS systems. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27S. V. Gopalaiah, A. P. Shivaprasad, Sukanta K. Panigrahi Design of Low Voltage Low Power CMOS OP-AMPS with Rail-to-Rail Input/Output Swing. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Derek Ho, Shahriar Mirabbasi Low-Voltage Low-Power Low-Noise Amplifier for Wireless Sensor Networks. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mohammad Maymandi-Nejad, Manoj Sachdev DTMOS Technique for Low-Voltage Analog Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Chutham Sawigun, Jirayuth Mahattanakul A low-voltage CMOS linear transconductor suitable for analog multiplier application. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Bruno Vaz, Nuno F. Paulino, João Goes, R. Costa, Romero Tavares, Adolfo Steiger-Garção Design of low-voltage CMOS pipelined ADCs using 1 pico-Joule of energy per conversion. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Adrian M. Ionescu, V. Pott, R. Fritschi, Kaustav Banerjee, Michel J. Declercq, Philippe Renaud, C. Hibert, Philippe Flückiger, G. A. Racine Modeling and Design of a Low-Voltage SOI Suspended-Gate MOSFET (SG-MOSFET) with a Metal-over-Gate Architecture. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Liqiong Wei, Zhanping Chen, Mark Johnson, Kaushik Roy 0001, Vivek De Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
26Liangguo Shen, Zushu Yan, Xing Zhang 0002, Yuanfu Zhao, Yuan Wang 0001 Design of High-Performance Voltage Regulators Based on Frequency-Dependent Feedback Factor. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26C. S. Lin, J. C. Chang, B. D. Liu Design for low-power, low-cost, and high-reliability precomputation-based content-addressable memory. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26K. Joseph Hass, Jack Venbrux, Prakash Bhatia Logic Design Considerations for 0.5-Volt CMOS. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Jun Zhang, Yunling Luo, Qiaobo Wang, Jingjing Li, Zhuqian Gong, Hong-Zhou Tan, Yunliang Long A low-voltage, low-power subthreshold CMOS voltage reference without resistors and high threshold voltage devices. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Baker Mohammad, Martin Saint-Laurent, Paul Bassett, Jacob A. Abraham Cache Design for Low Power and High Yield. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reduce voltage swing, sram yield, SRAM 6T cell, cache design, parametric failure
26Anna Richelli, Luca Mensi, Luigi Colalongo, Zsolt Miklós Kovács-Vajna, Pier Luigi Rolandi A 1.2V-5V High Efficiency CMOS Charge Pump for Non-Volatile Memories. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jincheol Yoo, Kyusun Choi, Jahan Ghaznavi Quantum Voltage Comparator for 0.07 mum CMOS Flash A/D Converters. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Fernando Muñoz 0001, Antonio J. López-Martín, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio Jesús Torralba Silgado, Meghraj Kachare, Bernardo Palomo Vázquez Extremely low supply voltage circuits based on quasi-floating gate supply voltage boosting. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Tsung-Sum Lee, Tai-Hua Chen Two low-voltage fully differential MOSFET-C voltage-controlled oscillators for frequency tuning. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Carl James Debono, Franco Maloberti, Joseph Micallef On the design of low-voltage, low-power CMOS analog multipliers for RF applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Radu M. Secareanu, Bill Peterson, D. Hartman A low-voltage low-noise digital buffer system. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Tsung-Sum Lee, Chi-Chang Lu, Shen-Hau Yu, Jian-Ting Zhan A very-high-speed low-power low-voltage fully differential CMOS sample-and-hold circuit with low hold pedestal. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Sanjay Kumar Wadhwa A Low Voltage CMOS Proportional-to-Absolute Temperature Current Reference. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Ippei Akita, Kazuyuki Wada, Yoshiaki Tadokoro Simplified Low-Voltage CMOS Syllabic Companding Log Domain Filter. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Ippei Akita, Kazuyuki Wada, Yoshiaki Tadokoro Low-voltage CMOS syllabic-companding log domain filter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Lu Liu, Zhihua Wang 0001 A new high gain low voltage 1.45 GHz CMOS mixer. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jiquing Cui, Yong Lian, Ming Fu Li A low voltage dual gate integrated CMOS mixer for 2.4GHz band applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
25Kiyoo Itoh 0001 Low-voltage memories for power-aware systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing
25Kalle Kivekäs, Aarno Pärssinen, Jarkko Jussila, Jussi Ryynänen, Kari Halonen Design of low-voltage active mixer for direct conversion receivers. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Shinichiro Mutoh, Satoshi Shigematsu, Yoshinori Gotoh, Shinsuke Konaka Design Method of MTCMOS Power Switch for Low-Voltage High-Speed LSIs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Amr M. Fahim, Mohamed I. Elmasry A Low-Voltage High-Performance Differential Static Logic (LVDSL) family. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Hiok-Tiaq Ng, David J. Allstot CMOS current steering logic for low-voltage mixed-signal integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Anna Maria Brosa, Joan Figueras Characterization of Floating Gate Defects in Analog Cells. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF floating gate defect, low-power/low-voltage analog circuits, analog testing
25Carlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín Compact power-efficient CMOS exponential voltage-to-voltage converter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Wendan Xu, Donglai Xu, Ian French An Improved Band-Gap Voltage Reference Circuit Design for Multimedia VLSI Systems Integration Applications. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yann-Hang Lee, C. Mani Krishna 0001 Voltage-Clock Scaling for Low Energy Consumption in Real-Time Embedded Systems. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Hesam Amir Aslanzadeh, Saeid Mehrmanesh, Mohammad B. Vahidfar, Amin Quasem Safarian, Reza Lotfi A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using "Slew Boost" technique. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS analog circuit, Slew Boost technique, class AB, low power, high speed, operational amplifier, pipelined analog to digital converter, ultra low voltage
24Erhan Ozalevli, Paul E. Hasler A tunable floating gate CMOS resistor for low-power and low-voltage applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Fathi A. Farag, Carlos Galup-Montoro, Márcio C. Schneider Inverter-based switched current circuit for very low-voltage and low-power applications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Renato Galembeck, Jader A. De Lima, Márcio C. Schneider A Gm-C bump equalizer for low-voltage low-power applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Yiu Wu, John Ling, Ward J. Helms A Bandpass Sigma-Delta for Software Low-Power and Low-Voltage Radio by Using PATH Technique. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Anand Ramalingam, Sreekumar V. Kodakara, Anirudh Devgan, David Z. Pan Robust analytical gate delay modeling for low voltage circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Pui-In Mak, Seng-Pan U., Rui Paulo Martins Design and test strategy underlying a low-voltage analog-baseband IC for 802.11a/b/g WLAN SiP receivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Farhad Alibeygi Parsan, Ahmad Ayatollahi, Adib Abrishamifar Investigating the linearity of MOSFET-only switched-capacitor DeltaSigma modulators under low-voltage condition. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Belén Calvo, Santiago Celma, Maria Teresa Sanz, Juan Pablo Alegre Low-Voltage Linearly Tunable CMOS Transconductor with Common-Mode Feedforward. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jose Luis Ruiz-Chavira, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal, Antonio Jesús Torralba Silgado Low-Voltage CMOS Single Ended and Fully Differential Amplifier with Programmable Gain. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24S. Radiom, B. Sheikholeslami, Hamed Aminzadeh, Reza Lotfi Folded-current-steering DAC: an approach to low-voltage high-speed high-resolution D/A converters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Shao-Hua Lee, Yun-Hsueh Chuang, Li-Ren Chi, Sheng-Lyang Jang, Jian-Feng Lee A Low-Voltage 2.4GHz VCO with 3D Helical Inductors. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Rahul Shukla, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal A low voltage rail to rail V-I conversion scheme for applications in current mode A/D converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24S. V. Gopalaiah, A. P. Shivaprasad Low Voltage CMOS op-amp with Rail-to-Rail Input/Output Swing. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Michele Quarantelli, Marco Poles, Marco Pasotti, Pier Luigi Rolandi A high compliance CMOS current source for low voltage applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Juan M. Carrillo, J. Francisco Duque-Carrillo, Guido Torelli, José L. Ausín Constant-gm constant-slew-rate high-bandwidth low-voltage rail-to-rail CMOS input stage for VLSI cell libraries. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Takeo Yasuda, Masaaki Yamamoto, Takafumi Nishi A power-on reset pulse generator for low voltage applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Jader A. De Lima, Adriano S. Cordeiro An accurate low-voltage analog memory-cell with built-in multiplication. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Yngvar Berg, Snorre Aunet, Øivind Næss, Mats Høvin Floating-gate CMOS differential analog inverter for ultra low-voltage applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Yusuhiro Sugimoto, Shigeo Imai The design of a 1 V, 40 MHz, current-mode sample-and-hold circuit with 10-bit linearity. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm Variations-Aware Low-Power Design and Block Clustering With Voltage Scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Armin Tajalli, Seyed Mojtaba Atarodi Design considerations for a 1.5-V, 10.7-MHz bandpass gm-C filter in a 0.6µm standard CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Low-swing clock domino logic incorporating dual supply and dual threshold voltages. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low swing clock, low power, domino logic, dual supply voltage, dual threshold voltage
23Tadayoshi Enomoto, Nobuaki Kobayashi Single-Power-Supply Six-Transistor CMOS SRAM Enabling Low-Voltage Writing, Low-Voltage Reading, and Low Standby Power Consumption. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Caffey Jindal, Rishikesh Pandey High Slew-Rate and Very-Low Output Resistance Class-AB Flipped Voltage Follower Cell for Low-Voltage Low-Power Analog Circuits. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Jun Shu, Min Cai A low supply-dependence fully-MOSFET voltage reference for low-voltage and low-power. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Riichiro Takemura, Kiyoo Itoh 0001, Tomonori Sekiguchi A 0.5-V FD-SOI twin-cell DRAM with offset-free dynamic-VT sense amplifiers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FD-SOI, dynamic-VT sense amplifier, low-voltage RAM, twin-cell DRAM
23Sreenivas Mandava, Sreejit Chakravarty, Sandip Kundu On Detecting Bridges Causing Timing Failures. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Resistive Bridges, Timing Failures, Transition Fault Model, Delay Test, At-Speed Testing, Low Voltage Testing
23Hai Chen, Xiaobo Wu, Xiaolang Yan A BiCMOS Low Voltage Low Distortion Class AB Amplifier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Pedro Amaral, João Goes, Nuno F. Paulino, Adolfo Steiger-Garção An improved low-voltage low-power CMOS comparator to be used in high-speed pipeline ADCs. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Adnan Harb, Mohamad Sawan New low-power low-voltage high-CMRR CMOS instrumentation amplifier. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Kurt Schweiger, Heimo Uhrmann, Horst Zimmermann Low-voltage low-power double bulk mixer for direct conversion receiver in 65nm CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Lyes Bouzerara, Mohand-Tahar Belaroussi Low-voltage, low-power and high gain CMOS operational transconductance amplifier. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Mustafa Keskin, Un-Ku Moon, Gabor C. Temes Low-voltage low-sensitivity switched-capacitor bandpass Sigma-Delta modulator. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 3403 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license