The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Ulrich Ramacher, Wolfgang Raab, J. A. Ulrich Hachmann, Jörg Beichter, Nico Brüls, Matthias Wesseling, Elisabeth Sicheneder, Joachim Gläß, Andreas Wurz, Reinhard Männer SYNAPSE-1: a high-speed general purpose parallel neurocomputer system. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SYNAPSE-1, high-speed general purpose parallel neurocomputer system, neural algorithms, neuro signal processor MA16, general purpose microprocessors, multiprocessor system, systolic arrays, systolic array, neural nets, test algorithm, neural net architecture, processing speed
23Preeti Ranjan Panda, Nikil D. Dutt 1995 high level synthesis design repository. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF 1995 high level synthesis design repository, VHDL language, behavioral finite state machines, behavioral level, computational complexity, high level synthesis, finite state machines, VHDL, microprocessors, hardware description languages, microprocessor chips, floating point units
23Jay K. Adams, Donald E. Thomas Multiple-process behavioral synthesis for mixed hardware-software systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated iterative improvement technique, concurrency optimization, concurrency tradeoffs, cost/performance ratio, hardware-software tradeoffs, mixed hardware-software systems, multiple-process behavioral synthesis, software engineering, resource allocation, concurrency control, controllers, optimisation, high level synthesis, logic design, multiprocessing systems, microprocessors, ASICs, application specific integrated circuits, ASIC, microprocessor chips, cost-benefit analysis
23Mike Tien-Chien Lee, Vivek Tiwari, Sharad Malik, Masahiro Fujita Power analysis and low-power scheduling techniques for embedded DSP software. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit state, embedded DSP software, general-purpose commercial microprocessors, instruction-level power model, measurement based power analysis, micro-architectural power model, on-chip Booth multiplier, scheduling, real-time systems, application specific integrated circuits, energy consumption, scheduling algorithm, power analysis, energy minimization, circuit CAD, digital signal processing chips, instruction sets, energy reduction, low-power scheduling, DSP processor
23Santanu Dutta, Wayne H. Wolf, Andrew Wolfe VLSI issues in memory-system design for video signal processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI issues, video signal processors, memory-system architectures, circuit-level issues, register-cache based hierarchy, general-purpose programmable microprocessors, VLSI, system architecture, utilization, memory architecture, memory architecture, video signal processing, area, cycle time, memory-system design
23Jainendra Kumar, Noel R. Strader, Jeff Freeman, Michael Miller Emulation verification of the Motorola 68060. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emulation verification, Motorola 68060, hardware logic emulation, configurable hardware, circuit verification, pseudo-random verification vectors, software application programs, formal verification, microprocessors, reconfigurable architectures, logic CAD, digital simulation, circuit analysis computing, RTL, hardware description languages, hardware description language, microprocessor chips, HDL, gate-level
23Ching-Long Su, Alvin M. Despain Cache designs for energy efficiency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache design techniques, superpipelined processors, cache energy consumption estimation, block buffering, cache sub-banking, Gray code addressing, instruction cache designs, consecutive accessing, energy efficiency, microprocessors, power consumption, energy conservation, data caches, cache storage, superscalar processors, Gray codes, energy reduction, CMOS memory circuits
23Marc Tremblay, Bill Joy 0001, Ken Shin A three dimensional register file for superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF three dimensional register file, datapath component, three-scalar machine, 3D Register File, multiple planes, extra register sets, microtask switching, data array, ported register file, flat register file, bus lines, large buffer, simulations, performance evaluation, data structures, memory architecture, superscalar processors, file organisation, registers, access time, microcomputers, cycle time, real time tasks, superscalar microprocessor, superscalar microprocessors, register windows
23M. J. van der Westhuizen, R. G. Harley, D. C. Levy, D. R. Woodward Using EDIF for software generation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF EDIF, parallel microprocessors, codesign methods, hardware development tools, real-time parallel C code, FPGA, parallel programming, simulated annealing, simulated annealing, software tools, software tool, logic CAD, circuit CAD, C language, scheduling theory, software generation, development systems
23B. Hamdi, Hakim Bederr, Michael Nicolaidis A tool for automatic generation of self-checking data paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-checking data paths, ALUs, shifters, double rail checkers, logic testing, built-in self test, microprocessors, adders, circuit CAD, multipliers, microcontrollers, register files, circuit design, CAD tools, automatic generation, automatic test software, dividers, circuit testing, parity checkers
23André Seznec, François Bodin Skewed-associative Caches. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF skewed-associative cache, cache, microprocessors, set-associative cache
21Daniele Rossi 0001, Martin Omaña 0001, Gianluca Berghella, Cecilia Metra, Abhijit Jas, Chandra Tirumurti, Rajesh Galivanche Low cost and low intrusive approach to test on-line the scheduler of high performance microprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microprocessor, on-line testing, control logic
21George Xenoulis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Instruction-Based Online Periodic Self-Testing of Microprocessors with Floating-Point Units. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Rupesh S. Shelar An algorithm for routing with capacitance/distance constraints for clock distribution in microprocessors. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, power, clock distribution
21Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev, Stamatis Vassiliadis Test Set Development for Cache Memory in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Lin Zhang, Aaron Carpenter, Berkehan Ciftcioglu, Alok Garg, Michael C. Huang 0001, Hui Wu Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ilya Wagner, Valeria Bertacco, Todd M. Austin Using Field-Repairable Control Logic to Correct Design Errors in Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Michael Katelman, José Meseguer 0001, Santiago Escobar 0001 Directed-Logical Testing for Functional Verification of Microprocessors. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Florent de Dinechin, Jérémie Detrey, Octavian Cret, Radu Tudoran When FPGAs are better at floating-point than microprocessors. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, floating-point, arithmetic
21Paolo Bernardi, Kyriakos Christou, Michelangelo Grosso, Maria K. Michael, Ernesto Sánchez 0001, Matteo Sonza Reorda Exploiting MOEA to Automatically Geneate Test Programs for Path-Delay Faults in Microprocessors. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microprocessor, BDD, MOEA, path-delay testing
21Chandramouli V. Kashyap, Pouria Bastani, Kip Killpack, Chirayu S. Amin Silicon feedback to improve frequency of high-performance microprocessors: an overview. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF speedpath, learning, timing analysis
21Cecilia Metra, Martin Omaña 0001, T. M. Mak, Asifur Rahman, Simon Tam 0001 Novel On-Chip Clock Jitter Measurement Scheme for High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kyriakos Christou, Maria K. Michael, Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda A Novel SBST Generation Technique for Path-Delay Faults in Microprocessors Exploiting Gate- and RT-Level Descriptions. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SBST, path-delay faults, microprocessor test
21David S. Hardin Invited Tutorial: Considerations in the Design and Verification of Microprocessors for Safety-Critical and Security-Critical Applications. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21David M. Brooks, Robert P. Dick, Russ Joseph, Li Shang Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF process variation, power models, reliability models, modeling of computer architecture, thermal analysis
21Loganathan Lingappan, Niraj K. Jha Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Youngjin Cho, Naehyuck Chang Energy-Aware Clock-Frequency Assignment in Microprocessors and Memory Devices for Dynamic Voltage Scaling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Leslie Barnes Performance Modeling and Analysis for AMD's High Performance Microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
21Ziyad Hanna Abstract Modeling and Formal Verification of Microprocessors. Search on Bibsonomy CSR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Cecilia Metra, Martin Omaña 0001, T. M. Mak, Simon Tam 0001 Novel Approach to Clock Fault Testing for High Performance Microprocessors. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Hendrik F. Hamann, Alan J. Weger, James A. Lacey, Zhigang Hu, Pradip Bose, Erwin B. Cohen, Jamil A. Wakil Temperature-limited microprocessors: Measurements and design implications. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Nitin Yogi, Vishwani D. Agrawal Spectral RTL Test Generation for Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Weiwu Hu, Rui Hou, Jun-Hua Xiao, Long-Bin Zhang High Performance General-Purpose Microprocessors: Past and Future. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high performance general-purpose microprocessor, Godson processor, chip multiprocessors, instruction level parallelism, thread level parallelism, data level parallelism
21Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso Data-Driven Multithreading Using Conventional Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nonblocking threads, multiprocessors, high performance computing, multithreading, network of workstations, Dataflow, cache prefetching
21Nicholas J. Wang, Sanjay J. Patel ReStore: Symptom-Based Soft Error Detection in Microprocessors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Simulation, fault tolerance, fault injection, redundant design
21Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras On the Characterization of Data Cache Vulnerability in High-Performance Embedded Microprocessors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hassan Al-Sukhni, David Lindberg, James Holt, Michele Reese Workload Slicing for Characterizing New Features in High Performance Microprocessors. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Oguz Ergin Exploiting Narrow Values for Energy Efficiency in the Register Files of Superscalar Microprocessors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21André V. Fidalgo, Manuel G. Gericota, Gustavo R. Alves, José M. Ferreira 0001 Using NEXUS compliant debuggers for real time fault injection on microprocessors. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF on chip debug, real time systems, fault injection
21Jörg Platte, Raúl Durán Díaz, Edwin Naroska A New Encryption and Hashing Scheme for the Security Architecture for Microprocessors. Search on Bibsonomy Communications and Multimedia Security The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Zoran A. Salcic, Flavius Gruian, Partha S. Roop, Alif Wahid A Scheduler Support Unit for Reactive Microprocessors. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Pong-Fei Lu, Nianzheng Cao, Leon J. Sigal, Pieter Woltgens, Raphael Robertazzi, David F. Heidel A pulsed low-voltage swing latch for reduced power dissipation in high-frequency microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulse latch, low-power, latch
21Giorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos Fast bit permutation unit for media enhanced microprocessors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Miroslav N. Velev Formal Verification of Pipelined Microprocessors with Delayed Branches. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Wei Wu 0024, Lingling Jin, Jun Yang 0002, Pu Liu, Sheldon X.-D. Tan A systematic method for functional unit power estimation in microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF microprocessor, power estimation, performance counter
21Vladimir Stojanovic, R. Iris Bahar, Jennifer Dworak, Richard Weiss 0001 A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction queue, reliability, error correcting codes
21Bramha Allu, Wei Zhang 0002 Exploiting the replication cache to improve performance for multiple-issue microprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Giacinto Paolo Saggese, Nicholas J. Wang, Zbigniew Kalbarczyk, Sanjay J. Patel, Ravishankar K. Iyer An Experimental Study of Soft Errors in Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Soft error sensitivity, Assessment and Protection Techniques, Fault Injection, Soft errors, Microprocessor Architecture
21Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh Current demand balancing: a technique for minimization of current surge in high performance clock-gated microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Cristian Constantinescu Neutron SER Characterization of Microprocessors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nicholas J. Wang, Sanjay J. Patel ReStore: Symptom Based Soft Error Detection in Microprocessors. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Bin Xue, D. M. H. Walker Is IDDQ Test of Microprocessors Feasible? Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Sreejit Chakravarty Improving Logic Test Quality of Microprocessors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Nasser A. Kurd, Javed Barkatullah, Srikanth Nimmagadda, Yehea I. Ismail A Skewed Repeater Bus Architecture for On-Chip Energy Reduction in Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Kyeong-Jae Lee, Kevin Skadron, Wei Huang 0004 Analytical Model for Sensor Placement on Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Haihua Shen, Lin Ma, Heng Zhang CRPG: a configurable random test-program generator for microprocessors. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Michele Portolan, Régis Leveugle On the Need for Common Evaluation Methods for Fault Tolerance Costs in Microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hans Eberle, Arvinderpal Wander, Nils Gura, Sheueling Chang Shantz, Vipul Gupta Architectural Extensions for Elliptic Curve Cryptography over GF(2m) on 8-bit Microprocessors. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Qing K. Zhu, David Ayers Power Grid Planning for Microprocessors and SOCS. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yi-Shing Chang, Sreejit Chakravarty, Hiep Hoang, Nick Thorpe, Khen Wee Transition Tests for High Performance Microprocessors. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Mikhail N. Dorojevets Opportunities, Challenges, and Projections for Superconductor RSFQ Microprocessors. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Fulvio Corno, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero Code Generation for Functional Validation of Pipelined Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelined micro processors, evolutionary algorithms, functional validation, automatic test program generation
21Sergio López-Buedo, Eduardo I. Boemo Making visible the thermal behaviour of embedded microprocessors on FPGAs: a progress report. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF JBits, FPGA, embedded processors, run-time reconfiguration, ring-oscillator, temperature measurement
21Miroslav N. Velev Exploiting Signal Unobservability for Efficient Translation to CNF in Formal Verification of Microprocessors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Miroslav N. Velev Using positive equality to prove liveness for pipelined microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Miroslav N. Velev Efficient translation of boolean formulas to CNF in formal verification of microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Gerhard Schrom, Peter Hazucha, Jae-Hong Hahn, Volkan Kursun, Donald S. Gardner, Siva G. Narendra, Tanay Karnik, Vivek De Feasibility of monolithic and 3D-stacked DC-DC converters for microprocessors in 90nm technology generation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF integrated magnetics, on-die switching converter, power delivery, DC-DC converter, 3-D integration
21Lorena Anghel, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero, Raoul Velazco Coupling Different Methodologies to Validate Obsolete Microprocessors. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Christian Tenllado, Carlos García 0001, Manuel Prieto 0001, Luis Piñuel, Francisco Tirado Exploiting Multilevel Parallelism Within Modern Microprocessors: DWT as a Case Study. Search on Bibsonomy VECPAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Wei Qin, Sharad Malik Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh Integrated architectural/physical planning approach for minimization of current surge in high performance clock-gated microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply noise, inductive noise
21Cecilia Metra, T. M. Mak, Daniele Rossi 0001 Clock Calibration Faults and their Impact on Quality of High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jeremy A. Rowlette, Travis M. Eiles Critical Timing Analysis in Microprocessors Using Near-IR Laser Assisted Device Alteration (LADA). Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jessica H. Tseng, Krste Asanovic Banked Multiported Register Files for High-Frequency Superscalar Microprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21A. V. Mule, Elias N. Glytsis, Thomas K. Gaylord, James D. Meindl Electrical and optical clock distribution networks for gigascale microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Mohan G. Kabadi, Natarajan Kannan, Palanidaran Chidambaram, Suriya Narayanan, M. Subramanian, Ranjani Parthasarathi Dead-Block Elimination in Cache: A Mechanism to Reduce I-cache Power Consumption in High Performance Microprocessors. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Daniel Chaver, Christian Tenllado, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado -D Wavelet Transform Enhancement on General-Purpose Microprocessors: Memory Hierarchy and SIMD Parallelism Exploitation. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Nandu Tendolkar, Rajesh Raina, Rick Woltenberg, Xijiang Lin, Bruce Swanson, Greg Aldrich Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Microprocessor, Delay Testing
21Daniel Chaver, Christian Tenllado, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado Wavelet Transform for Large Scale Image Processing on Modern Microprocessors. Search on Bibsonomy VECPAR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Kanji Hirabayashi An Algebraic Approach to Formal Verification of Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF formal verification, microprocessor
21Stephen B. Furber, Aristides Efthymiou, Jim D. Garside, David W. Lloyd, Mike J. G. Lewis, Steve Temple Power Management in the Amulet Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21David M. Brooks, Margaret Martonosi Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Alfred Strey, Martin Bange Accelerating RBF Network Simulation by Using Multimedia Extensions of Modern Microprocessors. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21David M. Brooks, Pradip Bose, Stanley Schuster, Hans M. Jacobson, Prabhakar Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor V. Zyuban, Manish Gupta, Peter W. Cook Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Li-C. Wang, Magdy S. Abadir On Efficiently Producing Quality Tests for Custom Circuits in PowerPCTM Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF custom circuits, high level circuit extraction, ATPG, DFT, time-to-market
21Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto Energy estimation for 32-bit microprocessors. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado Power-Efficient Value Speculation for High-Performance Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado A Power Perspective of Value Speculation for Superscalar Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Sergej Sawitzki, Rainer G. Spallek, Jens Schönherr, Bernd Straube Formal Verification for Microprocessors with Extendable Instruction Set. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable processor architecture, abstraction techniques, formal verification, pipeline processor
21Miroslav N. Velev, Randal E. Bryant Formal verification of superscale microprocessors with multicycle functional units, exception, and branch prediction. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Mark D. Aagaard, Robert B. Jones, Roope Kaivola, Katherine R. Kohatsu, Carl-Johan H. Seger Formal verification of iterative algorithms in microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
21David W. Lloyd, Jim D. Garside, D. A. Gilbert Memory Faults in Asynchronous Microprocessors. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Jeffrey L. Burns, Jack A. Feldman C5M-a control-logic layout synthesis system for high-performance microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Ravi Hosabettu, Mandayam K. Srivas, Ganesh Gopalakrishnan Decomposing the Proof of Correctness of pipelined Microprocessors. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Mario Paniccia, Travis M. Eiles, V. R. M. Rao, Wai Mun Yee Novel optical probing technique for flip chip packaged microprocessors. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Abhijit Dharchoudhury, Rajendran Panda, David T. Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden Design and Analysis of Power Distribution Networks in PowerPC Microprocessors. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reliability, PowerPC, PowerPC, IR-drop, power distribution network
Displaying result #101 - #200 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license