The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase x-by-wire (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1967 (15) 1968-1974 (22) 1975-1980 (18) 1981-1984 (22) 1985-1986 (31) 1987-1988 (30) 1989 (29) 1990 (32) 1991 (17) 1992 (24) 1993 (19) 1994 (36) 1995 (36) 1996 (51) 1997 (48) 1998 (64) 1999 (98) 2000 (94) 2001 (122) 2002 (179) 2003 (203) 2004 (224) 2005 (256) 2006 (302) 2007 (296) 2008 (259) 2009 (197) 2010 (96) 2011 (119) 2012 (93) 2013 (116) 2014 (135) 2015 (111) 2016 (140) 2017 (118) 2018 (130) 2019 (151) 2020 (159) 2021 (142) 2022 (174) 2023 (186) 2024 (45)
Publication types (Num. hits)
article(1760) book(3) data(2) incollection(13) inproceedings(2839) phdthesis(22)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1786 occurrences of 1001 keywords

Results
Found 4641 publication records. Showing 4639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Hua Xiang 0001, Kai-Yuan Chao, D. F. Wong 0001 ECO algorithms for removing overlaps between power rails and signal wires. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Rony Kay, Lawrence T. Pileggi EWA: efficient wiring-sizing algorithm for signal nets and clock nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Elmar Dilger, Thomas Führer, Bernd Müller Distributed Fault-Tolerant and Safety-Critical Application in Vehicles - A Time-Triggered Approach. Search on Bibsonomy SAFECOMP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Reiji Suda, Ryotaro Kamikawai, Yasuo Wada, Willy Hioe, Mutsumi Hosoya, Eiichi Goto QFP wiring problem-introduction and analytical considerations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Shigetoshi Nakatake, Yoji Kajitani Channel-driven global routing with consistent placement (extended abstract). Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Jens Lienig, Goeran Jerke, Thorsten Adler Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wire width, wire planning, current-driven routing, Design methodology, electromigration, detailed routing, current density, analog circuit design
35Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang A Novel Wire-Density-Driven Full-Chip Routing System for CMP Variation Control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Marcel Brückner, Frank Deinzer, Joachim Denzler Temporal Estimation of the 3d Guide-Wire Position Using 2d X-ray Images. Search on Bibsonomy MICCAI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Jean-Pierre Merlet Kinematics of the wire-driven parallel robot MARIONET using linear actuators. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Yong-sheng Cheng, Zhiqiang You, Jishun Kuang Test Response Data Volume and Wire Length Reductions for Extended Compatibilities Scan Tree Construction. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF full scan testing, scan tree, routing complexity, test response data volume, design-for-testability
35Ke Cao, Jiang Hu, Mosong Cheng Wire Sizing and Spacing for Lithographic Printability and Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang Novel wire density driven full-chip routing for CMP variation control. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Minimizing wire length in floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Thorsten Zerfaß, Sebastian Mues-Hinterwäller, Dietrich Paulus, Thomas Wittenberg Live-Wire Segmentierung für hochaufgelöste Farbbilder mit optimierter Graphensuche. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Simultaneous adaptive wire adjustment and local topology modification for tuning a bounded-skew clock tree. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Optimal redistribution of white space for wire length minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Karthik Balakrishnan, Vidit Nanda, Siddharth Easwar, Sung Kyu Lim Wire congestion and thermal aware 3D global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Dirk Stroobandt A priori wire length distribution models with multiterminal nets. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Sandeep Kumar Goel, Erik Jan Marinissen Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Saman P. Amarasinghe Defying the speed of light: : a spatially-aware compiler for wire-exposed architectures. Search on Bibsonomy ASIA-PEPM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Tanja Alderliesten, Maurits K. Konings, Wiro J. Niessen Simulation of Guide Wire Propagation for Minimally Invasive Vascular Interventions. Search on Bibsonomy MICCAI (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Shirley A. M. Baert, Everine B. van de Kraats, Wiro J. Niessen 3D Guide Wire Reconstruction from Biplane Image Sequences for 3D Navigation in Endovascular Interventions. Search on Bibsonomy MICCAI (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Christoph Albrecht, Andrew B. Kahng, Ion I. Mandoiu, Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Mark A. Hillebrand, Thomas Schurger, Peter-Michael Seidel How to Half Wire Lengths in the Layout of Cyclic Shifter. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Youxin Gao, Martin D. F. Wong Wire-sizing optimization with inductance consideration using transmission-line model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Youxin Gao, Martin D. F. Wong Optimal shape function for a bidirectional wire under Elmore delay model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Chris C. N. Chu, Martin D. F. Wong Greedy wire-sizing is linear time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Masahiro Nagamatu, Shakeel Ismail, Torao Yanaru A continuous valued iterative algorithm for wire routing problems. Search on Bibsonomy KES (1) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Z. W. Zhong Overview of wire bonding using copper wire or insulated wire. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
33Bahareh Fathi, Laleh Behjat, Logan M. Rakai A pre-placement net length estimation technique for mixed-size circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wire length estimation, placement, physical design, hypergraph clustering
33Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou 0001 Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF graph-based approach, microarchitecture pipelining optimization, throughput-aware floorplanning, block pipelining, interconnect pipelining, graph-based algorithm, mixed integer linear programming, wire pipelining
33Jaskirat Singh, Sachin S. Sapatnekar A fast algorithm for power grid design. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power grid design, wire pitch, optimization, locality, macromodel, bipartitioning
32Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Power-delay optimization in VLSI microprocessors by wire spacing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Wire spacing, power optimization, interconnect optimization, delay-optimization
32Jin-Tai Yan, Zhi-Wei Chen Redundant wire insertion for yield improvement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF redundant wire, routing, yield
32Moi-Tin Chew, Tatt-Huong Tham, Ye Chow Kuang Electrical Power Monitoring System Using Thermochron Sensor and 1-Wire Communication Protocol. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF electrical power monitoring, silicon themperature sensors, 1-wire data communication, datalogging
32Jing Huang 0001, Mariam Momenzadeh, Fabrizio Lombardi On the Tolerance to Manufacturing Defects in Molecular QCA Tiles for Processing-by-wire. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF processing by wire, emerging technologies, defect tolerance, QCA
32Ya-Qing Zheng Feedback Linearization Control of a Wire-Driven Parallel Support System in Wind Tunnels. Search on Bibsonomy ISDA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wind tunnel, wire-driven, parallel support system, feedback linearization control
32Bo Hu 0006, Malgorzata Marek-Sadowska Wire length prediction based clustering and its application in placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF wire length prediction, clustering, placement
32Paolo Gallina, Giulio Rosati, Aldo Rossi 3-d.o.f. Wire Driven Planar Haptic Interface. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF wire driven systems, haptic interfaces, manipulability, polytopes
30Tsung-Hsien Lee, Ting-Chi Wang Robust layer assignment for via optimization in multi-layer global routing. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, computer-aided design, global routing, via, layer assignment
30Edmund Lee 0002, Guy Lemieux, Shahriar Mirabbasi Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing design, FPGA, computer-aided design, interconnect design, FPGA interconnect
30Chaomin Luo, Miguel F. Anjos, Anthony Vannelli A nonlinear optimization methodology for VLSI fixed-outline floorplanning. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit layout design, VLSI floorplanning, Facility layout, Combinatorial optimization, Global optimization, Convex programming
30K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Delay and Power Minimization in VLSI Interconnects with Spatio-Temporal Bus-Encoding Scheme. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Haihua Su, Jiang Hu, Sachin S. Sapatnekar, Sani R. Nassif A methodology for the simultaneous design of supply and signal networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Chin-Chih Chang, Jason Cong, David Zhigang Pan, Xin Yuan 0005 Multilevel global placement with congestion control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh A Comparison of Asymptotically Scalable Superscalar Processors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Chin-Chih Chang, Jason Cong, David Zhigang Pan Physical hierarchy generation with routing congestion control. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF routing, interconnect, placement, hierarchy, congestion, physical, deep sub-micron
30Steven L. Teig The X architecture: not your father's diagonal wiring. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Nicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnect-Driven Floorplanning, Performance Optimization
30Paul Kartschoke, Stephen F. Geissler Timing Driven Wiring on an Advanced Microprocessor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Youxin Gao, D. F. Wong 0001 A fast and accurate delay estimation method for buffered interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Arifur Rahman, Rafael Reif System-level performance evaluation of three-dimensional integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Stuart Goose, Safia Djennane WIRE3: Driving Around the Information Super-Highway. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3-dimensional audio, Voice browsing, WIRE 3, Mobility, Document structure, WIRE
29Jhe-Hong Wang, Chen-Hsien Fan, Chao-Chieh Lan A compact rotational manipulator using shape memory alloy wire actuated flexures. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Saleem Abdul Hamid, Nabil Simaan Design and synthesis of wire-actuated universal-joint wrists for surgical applications. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Juan Andrade-Cetto, Federico Thomas A Wire-Based Active Tracker. Search on Bibsonomy IEEE Trans. Robotics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Fu-Wei Chen, Yi-Yu Liu Wire Sizing Alternative - An Uniform Dual-rail Routing Architecture. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Basab Datta, Wayne P. Burleson Collaborative sensing of on-chip wire temperatures using interconnect based ring oscillators. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensor, interconnect, temperature, oscillator
29Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Yo-An Lim, Yongwon Seo 0003, Jeha Ryu A Wearable 3-DOF Wire-driven Force Feedback Device. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jie-Hui Gong, Hui Zhang 0013, Yiwen Zhang, Jia-Guang Sun 0001 Converting hybrid wire-frames to B-rep models. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 2-manifold, Möbius rule, model conversion, solid reconstruction, graph theory
29Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak A statistical methodology for wire-length prediction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Rudolf Ahlswede, Ning Cai 0001 Transmission, Identification and Common Randomness Capacities for Wire-Tape Channels with Secure Feedback from the Decoder. Search on Bibsonomy GTIT-C The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Hitoshi Kino, Toshiaki Yahiro, Fumiaki Takemura, Tetsuya Morizono Adaptive Position Control for Fully Constrained Parallel Wire Driven Systems. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Konstantin Moiseev, Shmuel Wimer, Avinoam Kolodny Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Chuan Lin 0002, Hai Zhou 0001 Wire retiming as fixpoint computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ireri Ibarra-Alvarado, Richard K. Stobart, Rudi Lutz Software Hazard Analysis for X-by-Wire Applications. Search on Bibsonomy MoDELS (Satellite Events) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Zein Salah, Jasmina Orman, Dirk Bartz Live-Wire Revisited. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Venkatanand Venkatachalapathy Microarchitectural Wire Management for Performance and Power in Partitioned Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Shanq-Jang Ruan, Edwin Naroska, Uwe Schwiegelshohn Simultaneous Wire Permutation, Inversion, and Spacing with Genetic Algorithm for Energy-Efficient Bus Design. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and uniform wire sizing for single long wires. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Huiguang He, Jie Tian 0001, Yao Lin, Ke Lu A New Interactive Segmentation Scheme Based on Fuzzy Affinity and Live-Wire. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Cédric Wilwert, Françoise Simonot-Lion, Yeqiong Song, Françoise Simonot Quantitative evaluation of the safety of X-by-Wire architecture subject to EMI perturbations. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Chuan Lin 0002, Hai Zhou 0001 Wire Retiming for System-on-Chip by Fixpoint Computation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Neil Steiner, Peter M. Athanas An Alternate Wire Database for Xilinx FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Rajeev Balasubramonian Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures
29V. Seth, Min Zhao 0001, Jiang Hu Exploiting level sensitive latches in wire pipelining. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak Wire-length prediction using statistical techniques. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Mario R. Casu, Luca Macchiarulo On-Chip Transparent Wire Pipelining. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Hitoshi Kino, Shigeru Yabe, Naoki Honjo, Sadao Kawamura A Sensor-Actuator Map for Organization of Position Sensor Feedback Control for Multiple Links Structure / Wire Driven System. Search on Bibsonomy AINA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Paul Villarrubia A practical methodology for early buffer and wire resource allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Li-Da Huang, Hung-Ming Chen, D. F. Wong 0001 Global Wire Bus Configuration with Minimum Delay Uncertainty. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Eli Chiprout Early electrical wire projections and implications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Enrico Macii, Massimo Poncino, Sabino Salerno Combining wire swapping and spacing for low-power deep-submicron buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, physical design, crosstalk, bus encoding
29Jai Thomas, Jayesh Todi, Asif Paranjpe Simulation of manufacturing operations: optimization of operations in a steel wire manufacturing company. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Srinivas Bodapati, Farid N. Najm Prelayout estimation of individual wire lengths. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Dirk Stroobandt A priori system-level interconnect prediction: Rent's rule and wire length distribution models. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Kristina Ahlström, Jan Torin, Per Johannessen Design Method for Conceptual Design of By-Wire Control: Two Case Studies. Search on Bibsonomy ICECCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Youxin Gao, D. F. Wong 0001 Wire-Sizing for Delay Minimization and Ringing Control Using Transmission Line Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Roumen Iankov, Albert Van Bael, Paul Van Houtte Finite Element Simulation of Residual Stresses in Thermo-coupled Wire Drawing Process. Search on Bibsonomy NAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Chris C. N. Chu, Martin D. F. Wong An efficient and optimal algorithm for simultaneous buffer and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Chung-Ping Chen, Chris C. N. Chu, Martin D. F. Wong Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Zhong-Fang Jin, Jean-Jacques Laurin, Yvon Savaria, Pierre Garon A new approach to analyze interconnect delays in RC wire models. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Michael Gunzert, Andreas Nägele Component-Based Development and Verification of Safety Critical Software for a Brake-by-Wire System with Synchronous Software Components. Search on Bibsonomy PDSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 4639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license