The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18David M. Wu, Mike Lin, Madhukar Reddy, Talal Jaber, Anil Sabbavarapu, Larry Thatcher An Optimized DFT and Test Pattern Generation Strategy for an Intel High Performance Microprocessor. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Christopher T. Weaver, Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt Techniques to Reduce the Soft Error Rate of a High-Performance Microprocessor. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mountassar Maamoun, Boualem Laichi, Abdelhalim Benbelkacem, Daoud Berkani Interfacing in Microprocessor-based Systems with an Advanced Physical Addressing. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Software/Hardware system, Advanced Physical Addressing, memory integration, Interfacing, DMA
18David S. Kung 0001 Timing closure for low-FO4 microprocessor design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FO4, synthesis, placement, high performance
18Anshuman S. Nadkarni, Tom Kenville TiGeR, the Transmeta Instruction GEneratoR: A Production Based, Pseudo Random Instruction x86 Test Generator. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jing Zeng, Magdy S. Abadir, G. Vandling, Li-C. Wang, S. Karako, Jacob A. Abraham On Correlating Structural Tests with Functional Tests for Speed Binning of High Performance Design. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Paolo Bernardi, Maurizio Rebaudengo, Matteo Sonza Reorda Using Infrastructure IPs to Support SW-Based Self-Test of Processor Cores. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Shubhendu S. Mukherjee, Christopher T. Weaver, Joel S. Emer, Steven K. Reinhardt, Todd M. Austin A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Hai Li 0001, Swarup Bhunia, Yiran Chen 0001, T. N. Vijaykumar, Kaushik Roy 0001 Deterministic Clock Gating for Microprocessor Power Reduction. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yale N. Patt The High Performance Microprocessor in the Year 2013: What Will It Look Like? What It Won't Look Like? Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ling Liu, Wennan Feng, Song Jia, Anping Jiang, Lijiu Ji Design Retargetable Platform System for Microprocessor Functional Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ryuichi Takahashi, Hajime Ohiwa Situated Learning on FPGA for Superscalar Microprocessor Design Education. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Derek B. Gottlieb, Nicholas P. Carter Microprocessor Interfacing Laboratory. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Won Jay Song, Won Hee Kim, Bo Gwan Kim, Byung-Ha Ahn, Mun Kee Choi, Minho Kang Smart Card Terminal Systems Using ISO/IEC 7816-3 Interface and 8051 Microprocessor Based on the System-on-Chip. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Joel Grodstein, Dilip K. Bhavsar, Vijay Bettada, Richard A. Davies Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ákos Zarándy, Csaba Rekeczky, István Szatmári Vision systems based on the 128×128 focal plane cellular visual microprocessor chips. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Teresa L. McLaurin, Frank Frederick, Rich Slobodnik The Testability Features of The ARM1026EJ Microprocessor Core. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Scott Erlanger, Dilip K. Bhavsar, Richard A. Davies Testability Features of the Alpha 21364 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jayanta Bhadra, Narayanan Krishnamurthy, Magdy S. Abadir A Methodology for Validating Manufacturing Test Vector Suites for Custom Designed Scan-Based Circuits. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Alexander Klaiber, Sinclair Chau Automatic Detection of Logic Bugs in Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Rajagopalan Desikan, Doug Burger, Stephen W. Keckler, José-Lorenzo Cruz, Fernando Latorre, Antonio González 0001, Mateo Valero Errata on "Measuring Experimental Error in Microprocessor Simulation". Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Michael B. Taylor, Jason Sungtae Kim, Jason E. Miller, David Wentzlaff, Fae Ghodrat, Ben Greenwald, Henry Hoffmann, Paul R. Johnson, Jae W. Lee, Walter Lee, Albert Ma, Arvind Saraf, Mark Seneski, Nathan Shnidman, Volker Strumpen, Matthew I. Frank, Saman P. Amarasinghe, Anant Agarwal The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Forrest Brewer, Steve Haynal Symbolic NFA scheduling of a RISC microprocessor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mauro Olivieri Theoretical system-level limits of power dissipation reduction under a performance constraint in VLSI microprocessor design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hongshen Ma, Joseph A. Paradiso The FindIT Flashlight: Responsive Tagging Based on Optically Triggered Microprocessor Wakeup. Search on Bibsonomy UbiComp The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Loe M. G. Feijs, Paul Gorissen, Joachim Trescher Specification and Simulation of Microprocessor Operations and Parallel Instructions. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Kevin Skadron A microprocessor survey course for learning advanced computer architecture. Search on Bibsonomy SIGCSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Lawrence T. Clark, Neil Deutscher, Shay Demmons, Franco Ricci Standby power management for a 0.18µm microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF body effect, low power, microprocessors
18Rita Yu Chen, Paul Yip, Georgios K. Konstadinidis, Andrew Demas, Fabian Klass, Robert E. Mains, Margaret Schmitt, Dina Bistry Timing Window Applications in UltraSPARC-IIIi? Microprocessor Design. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Automatic Test Program Generation from RT-Level Microprocessor Descriptions. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mark D. Aagaard, Nancy A. Day, Meng Lou Relating Multi-step and Single-Step Microprocessor Correctness Statements. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Dawit Belete, Ashutosh Razdan, William Schwarz, Rajesh Raina, Christopher Hawkins, Jeff Morehead Use of DFT Techniques In Speed Grading a 1GHz+ Microprocessor . Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Timothe Litt Support for Debugging in the Alpha 21364 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Allan Hartstein, Thomas R. Puzak The Optimum Pipeline Depth for a Microprocessor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18T. Ohneda, Masaaki Kondo, Masashi Imai, Hiroshi Nakamura Design and evaluation of high performance microprocessor with reconfigurable on-chip memory. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Neal A. Harman Verifying a Simple Pipelined Microprocessor Using Maude. Search on Bibsonomy WADT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Øyvind Strøm, Einar J. Aas An Implementation of an Embedded Microprocessor Core with Support for Executing Byte Compiled Java Code. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Johan A. Pouwelse, Koen Langendoen, Henk J. Sips Dynamic voltage scaling on a low-power microprocessor. Search on Bibsonomy MobiCom The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Robert H. Klenke Design of a 32-Bit Microprocessor in an Undergraduate VLSI Design Course. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Atsuhiro Suga, Kunihiko Matsunami Introducing the FR500 Embedded Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18John N. Coleman, E. I. Chester, Christopher I. Softley, Jiri Kadlec Arithmetic on the European Logarithmic Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interpolation, Digital arithmetic, logarithmic number system
18Volkmar Lotz, Volker Kessler, Georg Walter A Formal Security Model for Microprocessor Hardware. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Security, hardware, formal security models
18David Van Campenhout, Trevor N. Mudge, John P. Hayes Collection and Analysis of Microprocessor Design Errors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18André Brinkmann, Dominik Langen, Ulrich Rückert 0001 A Rapid Prototyping Environment for Microprocessor Based System-on-Chips and Its Application to the Development of a Network Processor. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Subramania Sudharsanan MAJC-5200: A High Performance Microprocessor for Multimedia Computing. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Jürgen Teich, Philipp W. Kutter, Ralph Weper Description and Simulation of Microprocessor Instruction Sets Using ASMs. Search on Bibsonomy Abstract State Machines The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Raoul Velazco, Sana Rezgui Transient Bitflip Injection in Microprocessor Embedded Applications. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Jen-Tien Yen, Qichao Richard Yin Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ying Liu, Sani R. Nassif, Lawrence T. Pileggi, Andrzej J. Strojwas Impact of interconnect variations on the clock skew of a gigahertz microprocessor. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Armin Biere, Edmund M. Clarke, Richard Raimi, Yunshan Zhu Verifiying Safety Properties of a Power PC Microprocessor Using Symbolic Model Checking without BDDs. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Volkmar Lotz, Volker Kessler, Georg Walter A Formal Security Model for Microprocessor Hardware. Search on Bibsonomy World Congress on Formal Methods The full citation details ... 1999 DBLP  DOI  BibTeX  RDF security, hardware, formal security models
18Xinghao Chen 0004, Thomas J. Snethen, Joe Swenton, Ron Walther A Simplified Method for Testing the IBM Pipeline Partial-Scan Microprocessor. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, DFT
18Martin S. Schmookler, Michael Putrino, Anh Mather, Jon Tyler, Huy Van Nguyen, Charles Roth, Mukesh Sharma, Mydung N. Pham, Jeff Lent A Low-Power, High-Speed Implementation of a PowerPC(tm) Microprocessor Vector Extension. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Nancy A. Day, Jeffrey R. Lewis, Byron Cook Symbolic Simulation of Microprocessor Models using Type Classes in Haskell. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18William Fornaciari, Donatella Sciuto, Cristina Silvano Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18James K. Huggins, David Van Campenhout Specification and verification of pipelining in the ARM2 RISC microprocessor. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ARM processor, formal verification, pipelining, abstract state machines, design verification, pipelined processors
18Carol Pyron, Javier Prado, James Golab Test Strategy for the PowerPC 750 Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Li-C. Wang, Magdy S. Abadir, Jing Zeng Measuring the Effectiveness of Various Design Validation Approaches For PowerPC(TM) Microprocessor Arrays. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Design Error Models, Verification, Design Validation
18Claude Ackad Statechart-Based HW/SW-Codesign of a Multi-FPGA-Board and a Microprocessor. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Sergej Sawitzki, Achim Gratz, Rainer G. Spallek Increasing Microprocessor Performance with Tightly-Coupled Reconfigurable Logic Arrays. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Wayne M. Needham, Cheryl Prunty, Yeoh Eng Hong High volume microprocessor test escapes, an analysis of defects our tests are missing. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Mary P. Kusko, Bryan J. Robbins, Thomas J. Snethen, Peilin Song, Thomas G. Foote, William V. Huott Microprocessor test and test tool methodology for the 500 MHz IBM S/390 G5 chip. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Young-Jun Kwon, Ben Mathew, Hong Hao FakeFault: a silicon debug software tool for microprocessor embedded memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Dilip K. Bhavsar, David R. Akeson, Michael K. Gowan, Daniel B. Jackson Testability access of the high speed test features in the Alpha 21264 microprocessor. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Alfredo Benso, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda A fault injection environment for microprocessor-based boards. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Partha S. Roop, Arcot Sowmya CFSMcharts: A New Language for Microprocessor Based system Design. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Anthony C. J. Fox, Neal A. Harman Algebraic Models of Superscalar Microprocessor Implementations: A Case Study. Search on Bibsonomy Prospects for Hardware Foundations The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Li-C. Wang, Magdy S. Abadir, Nari Krishnamurthy Automatic Generation of Assertions for Formal Verification of PowerPC Microprocessor Arrays Using Symbolic Trajectory Evaluation. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF PowerPC
18Nevine Nassif, Madhav P. Desai, Dale H. Hall Robust Elmore Delay Models Suitable for Full Chip Timing Verification of a 600MHz CMOS Microprocessor. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconstruction, emulation, visibility, functional simulation
18Mario Zagar, Danko Basch Microprocessor Architecture Design with ATLAS. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Geoff Barrett, Anthony McIsaac Model Checking in a Microprocessor Design Project. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Junji Mori, Ben Mathew, Dave Burns, Yeuk-Hai Mok Testability Features of R10000 Microprocessor. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Lfsr, Observability Register, Clock Stretch, Fault Simulation, Memory Test
18Alain J. Martin, Andrew Lines, Rajit Manohar, Mika Nyström, Paul I. Pénzes, Robert Southworth, Uri Cummings The Design of an Asynchronous MIPS R3000 Microprocessor. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Joon-Seo Yim, Yoon-Ho Hwang, Chang-Jae Park, Hoon Choi, Woo-Seung Yang, Hun-Seung Oh, In-Cheol Park, Chong-Min Kyung A C-Based RTL Design Verification Methodology for Complex Microprocessor. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF C
18Zarka Cvetanovic, Dileep Bhandarkar Performance Characterization of the Alpha 21164 Microprocessor Using TP and SPEC Workloads. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Maurizio Damiani, Leonardo Impagliazzo, G. Sartore On-line Testing of an Off-the-shelf Microprocessor Board for Safety-critical Applications. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Don Douglas Josephson, Mark Storey, Daniel D. Dixon Microprocessor IDDQ Testing: A Case Study. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Mike Simone, A. Essen, A. Ike, A. Krishnamoorthy, Tak Maruyama, Niteen Patkar, M. Ramaswami, Michael Shebanow, V. Thirumalaiswamy, DeForest Tovey Implementation Trade-Offs in Using a Restricted Data Flow Architecture in a High Performance RISC Microprocessor. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SPARC
18Takashi Nanya, Yoichiro Ueno, Hiroto Kagotani, Masashi Kuwako, Akihiro Takamura TITAC: Design of A Quasi-Delay-Insensitive Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18José A. Tierno, Alain J. Martin, Drazen Borkovic, Tak-Kwan Lee A 100-MIPS GaAs Asynchronous Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Krste Asanovic, Nelson Morgan, John Wawrzynek Using simulations of reduced precision arithmetic to design a neuro-microprocessor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Qian Zhang, Herbert Grünbacher Petri Nets Modeling in Pipelined Microprocessor Design. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Robert S. Boyer, Yuan Yu Automated Correctness Proofs of Machine Code Programs for a Commercial Microprocessor. Search on Bibsonomy CADE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Nqthm, Boyer-Moore Theorem Prover, Gnu, Ada, C, Automated reasoning, object code, formal program verification
18Gu Qing Zuo, An Zhong Wang MPS - An Experimental Multi-Microprocessor Based Parallel System. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Mark Bickford, Mandayam K. Srivas Verification of a Pipelined Microprocessor Using Clio. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Alain J. Martin The Design of a Delay-Insensitive Microprocessor: An Example of Circuit Synthesis by Program Transformation. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Francisco J. García-Ugalde, Robert Morelos-Zaragoza Design of a Viterbi Decoder with Microprocessor-Based Serial. Search on Bibsonomy AAECC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
18Samuel O. Aletan, William Lively Multiprocessor/multiarchitecture microprocessor design (M3D). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
18Gotaro Odawara, Masahiro Tomita, Ichiro Ogata Diagrammatic function description of microprocessor and data-flow processor. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
18Thomas Philip, Stefan Jeglinski, Richard D. Benton, Robert L. Cook 0003 Design of a microprocessor based programmable system to process temperature information from a hot surface. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
18Alfred C. Weaver A real-time, multi-task programming language for microprocessor-based industrial process control. Search on Bibsonomy ACM Annual Conference (2) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
18John H. Carson, John K. Summers, James S. Welch Jr. A microprocessor selective encryption terminal for privacy protection. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Reg A. Kaenel MagicScore bowling scorer: a microprocessor application for fun and profit. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
13Ruhi Sarikaya, Alper Buyuktosunoglu A Unified Prediction Method for Predicting Program Behavior. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Microprocessor performance phase prediction, adaptive dynamic management, application program phase prediction
13Jong Sung Lee, Kevin Skadron, Sung Woo Chung Predictive Temperature-Aware DVFS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Microprocessor, DVFS, performance counter, dynamic thermal management, thermal sensor
13Daniele Rossi 0001, Martin Omaña 0001, Gianluca Berghella, Cecilia Metra, Abhijit Jas, Chandra Tirumurti, Rajesh Galivanche Low cost and low intrusive approach to test on-line the scheduler of high performance microprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microprocessor, on-line testing, control logic
13Hui Zeng, Matt T. Yourst, Kanad Ghose An energy-efficient checkpointing mechanism for out of order commit processor. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint, microprocessor
13Hui Zeng, Ju-Young Jung, Kanad Ghose, Dmitry Ponomarev 0001 Energy-efficient renaming with register versioning. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microprocessor, register renaming
13Kaisheng Zhang, Jiaan Zhang, Guofa Guo Research on Simulating-Human Intelligent Control Method for the Cold-Storage of Fruits and Vegetables. Search on Bibsonomy HIS (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF control method, simulating-human intelligent control, embedded microprocessor, cold-storage of fruits and vegetables, temperature and humidity
Displaying result #401 - #500 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license