The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Eric Rotenberg, James E. Smith 0001 Control Independence in Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Aurobindo Dasgupta, Ramesh Karri High-reliability, low-energy microarchitecture synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Omar Hammami Performance Impacts of Superscalar Microarchitecture on SOM Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Soner Önder, Rajiv Gupta 0001 Automatic Generation of Microarchitecture Simulators. Search on Bibsonomy ICCL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Kevin J. Nowka, H. Peter Hofstee Circuits and Microarchitecture for Gigahertz VLSI Designs. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Teresa L. Johnson, Matthew C. Merten, Wen-mei W. Hwu Run-Time Spatial Locality Detection and Optimization. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF prefetching, data cache, cache management, spatial locality, block size
26Eric Rotenberg, Quinn Jacobson, Yiannakis Sazeides, James E. Smith 0001 Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF trace processors, multiscalar processors, next trace prediction, selective reissuing, context-based value prediction, trace cache
26Yi-Min Jiang, Tsing-Fa Lee, TingTing Hwang, Youn-Long Lin Performance-driven interconnection optimization for microarchitecture synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Colin C. Charlton, D. Jackson, Paul H. Leng A functional model of clocked microarchitectures. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Ashok Singhal, Yale N. Patt Implementing a Prolog machine with multiple functional units. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF Prolog
25Timothy M. Jones 0001, Michael F. P. O'Boyle, Jaume Abella 0001, Antonio González 0001, Oguz Ergin Exploring the limits of early register release: Exploiting compiler analysis. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler, energy efficiency, Low-power design, microarchitecture, register file
25Pedro Chaparro, José González 0002, Qiong Cai, Greg Chrysler Dynamic thermal management using thin-film thermoelectric cooling. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal control, thin-film thermoelectric cooling, low-power, microarchitecture, dynamic thermal management
25David H. Albonesi Standing on Solid Ground. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnects for multicore chips, special-purpose chips, debugging, human-computer interface, microarchitecture, programmability
25Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF microarchitecture, RISC, pipeline processors, VLIW architectures, CISC
25Niranjan Soundararajan, Angshuman Parashar, Anand Sivasubramaniam Mechanisms for bounding vulnerabilities of processor structures. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant threading, microarchitecture, transient faults
25Ahmed M. Amin, Mithuna Thottethodi, T. N. Vijaykumar, Steven Wereley, Stephen C. Jacobson Aquacore: a programmable architecture for microfluidics. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fluidic, fluidic microarchitecture, programmable lab on a chip, microfluidics, instruction set
25Robert P. Colwell The Pentium Chronicles: Introduction. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Professional conduct, P6 microarchitecture, Project management, Microprocessors
25Michael J. Schulte, John Glossner, Sanjay Jinturkar, Mayan Moudgill, Suman Mamidi, Stamatis Vassiliadis A Low-Power Multithreaded Processor for Software Defined Radio. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, wireless communication, computer architecture, multithreading, microarchitecture, Software Defined Radio, processor design
25Angshuman Parashar, Anand Sivasubramaniam, Sudhanva Gurumurthi SlicK: slice-based locality exploitation for efficient redundant multithreading. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF backward slice extraction, redundant threading, microarchitecture, transient faults
25Benjamin C. Lee, David M. Brooks Accurate and efficient regression modeling for microarchitectural performance and power prediction. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, statistics, regression, inference, microarchitecture
25Pradip Bose Designing microprocessors with robust functionality and performance. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reliability-aware microarchitecture, power-efficient design, microprocessor design
25James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
25Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González 0001, José Duato On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intercluster communication, instruction steering, complexity, on-chip interconnects, Clustered microarchitecture
25Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
25George Sery, Shekhar Borkar, Vivek De Life is CMOS: why chase the life after? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF leakage control, microarchitecture, technology scaling
25Lieven Eeckhout, Koen De Bosschere, Henk Neefs On the Feasibility of Fixed-Length Block Structured Architectures. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF block structured architecture, performance evaluation, microarchitecture
25Michael Bolotski, Thomas Simon, Carlin Vieri, Rajeevan Amirtharajah, Thomas F. Knight Jr. Abacus: a 1024 processor 8 ns SIMD array. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bit-slice computers, Abacus, SIMD array, reconfigurable bit-parallel array, system-level design issues, real-time early vision processing, bit-slice processing element, 8 ns, real-time systems, computer vision, VLSI, parallel architectures, reconfigurable architectures, microarchitecture, VLSI implementation, communication primitives
25Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
19Sara S. Baghsorkhi, Matthieu Delahaye, Sanjay J. Patel, William D. Gropp, Wen-mei W. Hwu An adaptive performance modeling tool for GPU architectures. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel programming, analytical model, performance estimation, gpu
19Sung-Boem Park, Anne Bracy, Hong Wang 0003, Subhasish Mitra BLoG: post-silicon bug localization in processors using bug localization graphs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IFRA, BLoG, silicon debug, post-silicon validation
19Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith 0001 A mechanistic performance model for superscalar out-of-order processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Superscalar out-of-order processor, balanced processor design, mechanistic modeling, overprovisioned processor design, pipeline depth, pipeline width, resource scaling, wide front-end dispatch processors, performance modeling, analytical modeling
19Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Ali Bakhoda, George L. Yuan, Wilson W. L. Fung, Henry Wong, Tor M. Aamodt Analyzing CUDA workloads using a detailed GPU simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Gabriel H. Loh A modular 3d processor for flexible product design and technology migration. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF modular, superscalar, 3d-integration
19Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, Fadi J. Kurdahi PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Exploration and Customization of FPGA-Based Soft Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Gilberto Contreras, Margaret Martonosi, Jinzhang Peng, Guei-Yuan Lueh, Roy Ju The XTREM power and performance simulator for the Intel XScale core: Design and experiences. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel XScale technology, Java, Power modeling, power measurements
19Rong Ji, Xianjun Zeng, Liang Chen, Junfeng Zhang The Implementation and Evaluation of a Low-Power Clock Distribution Network Based on EPIC. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere Performance prediction based on inherent program similarity. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inherent program behavior, performance modeling, workload characterization
19Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez, Mateo Valero A decoupled KILO-instruction processor. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Sim-async: An Architectural Simulator for Asynchronous Processor Modeling Using Distribution Functions. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Krisztián Flautner Cutting across layers of abstraction: : removing obstacles from the advancement of embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF typical-case operation, embedded systems, low power, signal processing
19Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Arvind Krishnaswamy, Rajiv Gupta 0001 Dynamic coalescing for 16-bit instructions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 16-bit Thumb ISA, 32-bit ARM ISA, AX instructions, instruction coalescing, performance, energy, Embedded processor, code size
19Peter Petrov, Alex Orailoglu A reprogrammable customization framework for efficient branch resolution in embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Branch resolution, pipeline organization
19Nigel C. Paver, Moinul H. Khan, Bradley C. Aldrich, Christopher D. Emmons Accelerating Mobile Video: A 64-Bit SIMD Architecture for Handheld Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture, SIMD, SOC, multi-media, wireless video
19Rajeev Balasubramonian, Naveen Muralimanohar, Karthik Ramani, Venkatanand Venkatachalapathy Microarchitectural Wire Management for Performance and Power in Partitioned Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez Chained In-Order/Out-of-Order DoubleCore Architecture. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Stamatis Vassiliadis, Stephan Wong, Georgi Gaydadjiev, Koen Bertels, Georgi Kuzmanov, Elena Moscu Panainte The MOLEN Polymorphic Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Custom computing machines, reconfigurable microcode, polymorphic processors, FPGA, reconfigurable processors, firmware
19Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan An analysis of a resource efficient checkpoint architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF checkpoint architecture, high-performance computing, Computer architecture, scalable architecture
19Lieven Eeckhout Efficient architectural design of high performance microprocessors. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ashutosh S. Dhodapkar, James E. Smith 0001 Tuning Reconfigurable Microarchitectures for Power Efficiency. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Emil Talpes, Diana Marculescu Impact of technology scaling on energy aware execution cache-based microarchitectures. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Amaury Darsch, André Seznec IATO: A Flexible EPIC Simulation Environment. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Yale N. Patt The High Performance Microprocessor in the Year 2013: What Will It Look Like? What It Won't Look Like? Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Weiping Liao, Lei He 0001 Coupled Power and Thermal Simulation with Active Cooling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Roni Rosner, Yoav Almog, Micha Moffie, Naftali Schwartz, Avi Mendelson PARROT: Power Awareness Through Selective Dynamically Optimized Traces. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Mondira Deb Pant, Pankaj Pant, D. Scott Wills On-chip decoupling capacitor optimization using architectural level prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Siddharth Rele, Santosh Pande, Soner Önder, Rajiv Gupta 0001 Optimizing Static Power Dissipation by Functional Units in Superscalar Processors. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Pradip Bose, David M. Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith 0001, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative Dynamic Vectorization. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speculative dynamic vectorization, wide buses, speculative data computation, control independence, vector instructions
19Zhenyu Tang, Lei He 0001, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa Instruction Prediction for Step Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically allocating processor resources between nearby and distant ILP. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Jayanth Gummaraju, Manoj Franklin Branch Prediction in Multi-Threaded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Zhenyu Tang, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa, Lei He 0001 Ramp Up/Down Functional Unit to Reduce Step Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Vikas Agarwal, M. S. Hrishikesh, Stephen W. Keckler, Doug Burger Clock rate versus IPC: the end of the road for conventional microarchitectures. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Alex Orailoglu On-Line Fault Resilience Through Gracefully Degradable ASICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault tolerant ICs, reconfigurable ASICs, high level synthesis, on-line test, graceful degradation
19Subbarao Palacharla, Norman P. Jouppi, James E. Smith 0001 Complexity-Effective Superscalar Processors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Esteban Garzón, Robert Hanhan, Marco Lanuzza, Adam Teman, Leonid Yavits FASTA: Revisiting Fully Associative Memories in Computer Microarchitecture. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Chen Bai, Qi Sun 0002, Jianwang Zhai, Yuzhe Ma, Bei Yu 0001, Martin D. F. Wong BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Leah Hoffmann Achievement in Microarchitecture. Search on Bibsonomy Commun. ACM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Odysseas Chatzopoulos, George Papadimitriou 0001, Vasileios Karakostas, Dimitris Gizopoulos Gem5-MARVEL: Microarchitecture-Level Resilience Analysis of Heterogeneous SoC Architectures. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Chen Bai, Jianwang Zhai, Yuzhe Ma, Bei Yu 0001, Martin D. F. Wong Towards Automated RISC-V Microarchitecture Design with Reinforcement Learning. Search on Bibsonomy AAAI The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Justin Feng, Fatemeh Arkannezhad, Christopher Ryu, Enoch Huang, Siddhant Gupta, Nader Sehatbakhsh Simulating Our Way to Safer Software: A Tale of Integrating Microarchitecture Simulation and Leakage Estimation Modeling. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17R. Sivaramakrishnan, G. Senthilkumar A Deep Learning Framework for Microarchitecture Independent Workload Characterization Technique for Multi-core Asymmetric Embedded Systems. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Emil Talpes, Debjit Das Sarma, Douglas Williams, Sahil Arora, Thomas Kunjan, Benjamin Floering, Ankit Jalote, Christopher Hsiong, Chandrasekhar Poorna, Vaidehi Samant, John Sicilia, Anantha Kumar Nivarti, Raghuvir Ramachandran, Tim C. Fischer, Ben Herzberg, Bill McGee, Ganesh Venkataramanan, Pete Banon The Microarchitecture of DOJO, Tesla's Exa-Scale Computer. Search on Bibsonomy IEEE Micro The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hyerean Jang, Youngjoo Shin MicroCFI: Microarchitecture-Level Control-Flow Restrictions for Spectre Mitigation. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ngoc-Son Pham, Taeweon Suh Optimization of Microarchitecture and Dataflow for Sparse Tensor CNN Acceleration. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bruno Sá, Luca Valente, José Martins, Davide Rossi, Luca Benini, Sandro Pinto 0001 CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jianwang Zhai, Yici Cai Microarchitecture Design Space Exploration via Pareto-Driven Active Learning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ajay Krishna Ananda Kumar, Sami Alsalamin, Hussam Amrouch, Andreas Gerstlauer Machine Learning-Based Microarchitecture- Level Power Modeling of CPUs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Serkan Dereli, Asiye Rümeysa Ünsal A new microarchitecture hardware proposal for the use of the improved template matching method in face similarity detection. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jianwang Zhai, Chen Bai, Binwu Zhu, Yici Cai, Qiang Zhou 0001, Bei Yu 0001 McPAT-Calib: A RISC-V BOOM Microarchitecture Power Modeling Framework. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Thomas Chamelot, Damien Couroussé, Karine Heydemann MAFIA: Protecting the Microarchitecture of Embedded Systems Against Fault Injection Attacks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yufeng Zhou, Alan L. Cox, Sandhya Dwarkadas, Xiaowan Dong The Impact of Page Size and Microarchitecture on Instruction Address Translation Overhead. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zhaoyang Zhang, Jinwu Chen, Xi Chen, An Guo, Bo Wang, Tianzhu Xiong, Yuyao Kong, Xingyu Pu, Shengnan He, Xin Si, Jun Yang 0006 From macro to microarchitecture: reviews and trends of SRAM-based compute-in-memory circuits. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Qiang Li, Jun Tao 0001, Jun Han 0003 SPARK: An automatic Score-Power-Area efficient RISC-V processor microarchitecture SeeKer. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bruno Sá, Luca Valente, José Martins, Davide Rossi, Luca Benini, Sandro Pinto 0001 CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Thomas Chamelot, Damien Couroussé, Karine Heydemann MAFIA: Protecting the Microarchitecture of Embedded Systems Against Fault Injection Attacks. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mark Ermolov, Dmitry Sklyarov, Maxim Goryachy Undocumented x86 instructions to control the CPU at the microarchitecture level in modern Intel processors. Search on Bibsonomy J. Comput. Virol. Hacking Tech. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jingweijia Tan, Qixiang Wang, Kaige Yan, Xiaohui Wei, Xin Fu Saca-FI: A microarchitecture-level fault injection framework for reliability analysis of systolic array based CNN accelerator. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mila Anastasova, Reza Azarderakhsh, Mehran Mozaffari Kermani, Lubjana Beshaj Time-Efficient Finite Field Microarchitecture Design for Curve448 and Ed448 on Cortex-M4. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
17Thomas Chamelot, Damien Couroussé, Karine Heydemann MAFIA: Protecting the Microarchitecture of Embedded Systems Against Fault Injection Attacks. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
17Styliani Tompazi, Ioannis Tsiokanos, Jesús Martínez del Rincón, Georgios Karakonstantis Estimating Code Vulnerability to Timing Errors Via Microarchitecture-Aware Machine Learning. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xiaohui Wei, Changbao Zhou, Hengshan Yue, Joey Tianyi Zhou TC-SEPM: Characterizing soft error resilience of CNNs on Tensor Cores from program and microarchitecture perspectives. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hongwei Cui, Yujie Cui, Honglan Zhan, Shuhao Liang, Xianhua Liu 0001, Chun Yang, Xu Cheng 0001 MBAPIS: Multi-Level Behavior Analysis Guided Program Interval Selection for Microarchitecture Studies. Search on Bibsonomy PACT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Cairui She, Liwei Chen, Gang Shi DPFCFI: A Hardware-Based Forward Control-Flow Integrity for Architecture and Microarchitecture. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license