The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
46Zhenghong Wang, Ruby B. Lee A novel cache architecture with enhanced performance and security. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Arkaitz Ruiz-Alvarez, Kim M. Hazelwood Evaluating the impact of dynamic binary translation systems on hardware cache performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Andreas Bühmann, Theo Härder Making the Most of Cache Groups. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee Cache Organizations for H.264/AVC Motion Compensation. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Keshavan Varadarajan, S. K. Nandy 0001, Vishal Sharda, Bharadwaj Amrutur, Ravi R. Iyer 0001, Srihari Makineni, Donald Newell Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Mehdi Modarressi, Shaahin Hessabi, Maziar Goudarzi A Reconfigurable Cache Architecture for Object-Oriented Embedded Systems. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Chuanjun Zhang Balanced Cache: Reducing Conflict Misses of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Bramha Allu, Wei Zhang 0002 Exploiting the replication cache to improve performance for multiple-issue microprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Wei Zhang 0002, Mahmut T. Kandemir, Mustafa Karaköy, Guangyu Chen Reducing data cache leakage energy using a compiler-based approach. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF array-intensive applications, pointer-intensive applications, data caches, energy optimization, Compiler analysis
46Michael Behar, Avi Mendelson, Avinoam Kolodny Trace Cache Sampling Filter. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Partha Kundu, Murali Annavaram, Trung A. Diep, John Paul Shen A case for shared instruction cache on chip multiprocessors running OLTP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Sung Woo Chung, Hyong-Shik Kim, Chu Shik Jhon Distance-aware L2 Cache Organizations for Scalable Multiprocessor Systems. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Hongsong Chen, Zhenzhou Ji, Mingzeng Hu Orthogonal Design Method for Optimal Cache Configuration. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Wei Zhang 0002, Mustafa Karaköy, Mahmut T. Kandemir, Guangyu Chen A compiler approach for reducing data cache energy. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data caches, energy optimization, compiler analysis
46Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya, Prasanna Venkatesh Kannan Incorporating Pattern Prediction Technique for Energy Efficient Filter Cache Design. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Weiyu Tang, Rajesh K. Gupta 0001, Alexandru Nicolau Power Savings in Embedded Processors through Decode Filer Cache. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Jamison D. Collins, Suleyman Sair, Brad Calder, Dean M. Tullsen Pointer cache assisted prefetching. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Shimin Chen, Phillip B. Gibbons, Todd C. Mowry, Gary Valentin Fractal prefetching B±Trees: optimizing both cache and disk performance. Search on Bibsonomy SIGMOD Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Tohru Ishihara, Kunihiro Asada An Architectural Level Energy Reduction Technique For Deep-Submicron Cache Memories. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos Using dynamic cache management techniques to reduce energy in general purpose processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Wen-Hann Wang, Jean-Loup Baer, Henry M. Levy Organization and Performance of a Two-Level Virtual-Real Cache Hierarchy. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
46Steven A. Przybylski, Mark Horowitz, John L. Hennessy Characteristics of Performance-Optimal Multi-Level Cache Hierarchies. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
46Craig S. Steele, Jeffrey T. Draper, Jeff Koller, C. LaCour A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer. Search on Bibsonomy HPDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bus-efficient low-latency network interface, PDSS multicomputer, unprivileged code, cache-to-cache communications, distributed barrier-synchronization mechanism, single-chip implementation, commodity processor, routing, multiprocessor interconnection networks, interconnect, cache coherence protocols
46Aleksey Pesterev, Nickolai Zeldovich, Robert Tappan Morris Locating cache performance bottlenecks using data profiling. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF data profiling, debug registers, statistical profiling, cache misses
46Eddy Z. Zhang, Yunlian Jiang, Xipeng Shen Does cache sharing on modern CMP matter to the performance of contemporary multithreaded programs? Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel program optimizations, chip multiprocessors, shared cache, thread scheduling
46Aamer Jaleel, Kevin B. Theobald, Simon C. Steely Jr., Joel S. Emer High performance cache replacement using re-reference interval prediction (RRIP). Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scan resistance, thrashing, shared cache, replacement
46Chenjie Yu, Peter Petrov Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF L2 cache partitioning, off-chip bandwidth reduction
46Hao-Ping Hung, Ming-Syan Chen On designing a shortest-path-based cache replacement in a transcoding proxy. Search on Bibsonomy Multim. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cache replacement, Transcoding proxy
46Nan Guan, Martin Stigge, Wang Yi 0001, Ge Yu 0001 Cache-aware scheduling and analysis for multicores. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real-time systems, multicores, schedulability analysis, cache partitioning
46Chun-Hung Lai, Fu-Ching Yang, Chung-Fu Kao, Ing-Jer Huang A trace-capable instruction cache for cost efficient real-time program trace compression in SoC. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real time, cache, compression, program trace
46Yon Dohn Chung A cache invalidation scheme for continuous partial match queries in mobile computing environments. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mobile computing, Continuous query, Data broadcasting, Cache invalidation, Partial match query
46Marc González 0001, Nikola Vujic, Xavier Martorell, Eduard Ayguadé, Alexandre E. Eichenberger, Tong Chen 0001, Zehra Sura, Tao Zhang, Kevin O'Brien, Kathryn M. O'Brien Hybrid access-specific software cache techniques for the cell BE architecture. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF memory classification, OpenMP, compiler optimizations, local memories, software cache
46Raj Sharman, Shiva Shankar Ramanna, Ram Ramesh, Ram D. Gopal Cache architecture for on-demand streaming on the Web. Search on Bibsonomy ACM Trans. Web The full citation details ... 2007 DBLP  DOI  BibTeX  RDF selective retransmissions, quality of service, Web caching, buffering, edge cache, on-demand streaming
46Jaehyuk Huh 0001, Changkyu Kim, Hazim Shafi, Lixin Zhang 0002, Doug Burger, Stephen W. Keckler A NUCA Substrate for Flexible CMP Cache Sharing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multiprocessor systems, cache memories, adaptable architectures
46Manman Peng, Jiaguang Sun, Yuming Wang A Phase-Based Self-Tuning Algorithm for Reconfigurable Cache. Search on Bibsonomy ICDS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable cache, self-tuning algorithm, low energy, program phase
46Mingming Zhang, Xiaotao Chang, Ge Zhang 0007 Reducing cache energy consumption by tag encoding in embedded processors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF tag encoding, cache, low power design, embedded processors
46Vilas Sridharan, Hossein Asadi 0001, Mehdi Baradaran Tahoori, David R. Kaeli Reducing Data Cache Susceptibility to Soft Errors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF refresh, refetch, Fault tolerance, reliability, cache memories, soft errors, error modeling
46Nauman Rafique, Won-Taek Lim, Mithuna Thottethodi Architectural support for operating system-driven CMP cache management. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF quotas, QoS, cache, interface, fairness, CMP, SLAs, OS
46Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Evaluation of the field-programmable cache: performance and energy consumption. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processors, reconfigurable cache memory, static and dynamic energy consumption, performance evaluation, run-time adaptation
46Wen-Chih Peng, Ming-Syan Chen Design and Performance Studies of an Adaptive Cache Retrieval Scheme in a Mobile Computing Environment. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF service handoff, cache retrieval scheme, Mobile computing, mobile database, temporal locality
46Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, dynamic optimization, low energy
46Liqiang He, Zhiyong Liu An Effective Cache Overlapping Storage Structure for SMT Processors. Search on Bibsonomy ACIS-ICIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache compress, overlap storage, SMT
46Xavier Vera, Björn Lisper, Jingling Xue Data cache locking for higher program predictability. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data cache analysis, worst-case execution time
46Chang-Gun Lee, Kwangpo Lee, Joosun Hahn, Yang-Min Seo, Sang Lyul Min, Rhan Ha, Seongsoo Hong, Chang Yun Park, Minsuk Lee, Chong-Sang Kim Bounding Cache-Related Preemption Delay for Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Real-time system, cache memory, schedulability analysis, fixed-priority scheduling, preemption
46Yijun Yu, Kristof Beyls, Erik H. D'Hollander Visualizing the Impact of the Cache on Program Execution. Search on Bibsonomy IV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache, data locality, program visualization, loop tiling, reuse distance
46Nigel P. Topham, Antonio González 0001 Randomized Cache Placement for Eliminating Conflicts. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Conflict avoidance, performance evaluation, cache architectures
46Huesung Kim, Arun K. Somani, Akhilesh Tyagi On Reconfiguring Cache for Computing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache, convolution, reconfigurable hardware
46Li-San Li, Huang-Zhen Chun Lookahead Cache with Instruction Processing Unit for Filling Memory Gap. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Memory Gap, Lookahead Cache, Instruction Processing Unit
46Trishul M. Chilimbi, James R. Larus Using Generational Garbage Collection To Implement Cache-Conscious Data Placement. Search on Bibsonomy ISMM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cache-conscious data placement, object-oriented programs, profiling, garbage collection
46Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang Modeling and Single-Pass Simulation of CMP Cache Capacity and Accessibility. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple cache organization, single-pass simulation, on-chip storage space, on-chip cache capacity, single-pass stack simulation, global stack, shared stack, per-core private stack, single simulation pass, average memory access time, chip-multiprocessor, data replication, data accessibility, abstract model, reuse distances
46Yang Li, Lin Zuo, Jun Wei 0001, Hua Zhong 0001, Tao Huang 0001 Sequential Pattern-Based Cache Replacement in Servlet Container. Search on Bibsonomy ICWE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Servlet Cache, Sequential Patterns, Cache Replacement
46Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-oblivious shortest paths in graphs using buffer heap. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF buffer heap, cache-aware model, cache-oblivious model, decrease-key, tournament tree, shortest paths, priority queue
46Eric Rotenberg, Steve Bennett, James E. Smith 0001 Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, instruction cache, trace cache, instruction fetching
46Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
46Craig B. Stunkel, W. Kent Fuchs An Analysis of Cache Performance for a Hypercube Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Intel iPSC/2, processornodes, direct-mapped cache performance, application-specific datapartitioning, communication distribution, communication frequency, system accesses, user code, time distribution, message-passing code, performance evaluation, parallel programming, hypercube networks, storage management, buffer storage, parallel application, hypercube multicomputer, code analysis, cache simulation, address traces, data access patterns
46Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Yingjie Zhao, Nong Xiao Saber: Sequential Access Based cachE Replacement to Reduce the Cache Miss Penalty. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Matteo Frigo, Volker Strumpen The cache complexity of multithreaded cache oblivious algorithms. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Harini Ramaprasad, Frank Mueller 0001 Bounding Worst-Case Data Cache Behavior by Analytically Deriving Cache Reference Patterns. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Mohan G. Kabadi, Ranjani Parthasarathi Live-Cache: Exploiting Data Redundancy to Reduce Leakage Energy in a Cache Subsystem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Hiroyuki Mizuno, Koichiro Ishibashi A separated bit-line unified cache: Conciliating small on-chip cache die-area and low miss ratio. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46David H. Albonesi Selective Cache Ways: On-Demand Cache Resource Allocation. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46David C. Wong 0002, Edward W. Davis, Jeffrey O. Young A Software Approach to Avoiding Spatial Cache Collisions in Parallel Processor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cache collision, cache offset, highly parallel systems, sequential DO-loops, direct-mapped cache
46Masaki Aida, Noriyuki Takahashi Evaluation of the number of destination hosts for data networking and its application to address cache design. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF destination hosts, address cache design, address cache tables capacity, large-scale computer communication networks, packet destination addresses, cache hit probability, aging algorithm, probability, Zipf's law, data networking
46Amit Agarwal 0001, Hai Li, Kaushik Roy 0001 DRG-cache: a data retention gated-ground cache for low power. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gated-ground, low leakage cache, SRAM
46Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
46Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
46Dominique Thiébaut, Harold S. Stone Improving Disk Cache Hit-Ratios Through Cache Partitioning. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fully associative cache memories, buffer storage, adaptive algorithm, cache storage, content-addressable storage, cache partitioning, magnetic disc storage, hit-ratios, disk cache, queuing network model
43Waleed Ali 0001, Siti Mariyam Hj. Shamsuddin Intelligent Client-Side Web Caching Scheme Based on Least Recently Used Algorithm and Neuro-Fuzzy System. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Client-side web caching, Least Recently Used algorithm, Adaptive neuro-fuzzy inference system
43Serkan Ozdemir, Arindam Mallik, Ja Chun Ku, Gokhan Memik, Yehea I. Ismail Variable latency caches for nanoscale processor. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Isabelle Puaut WCET-Centric Software-controlled Instruction Caches for Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Emre Özer 0001, Resit Sendag, David Gregg Multiple-Valued Caches for Power-Efficient Embedded Systems. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Michael Zhang, Krste Asanovic Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Se-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Yuan-Shin Hwang, Jia-Jhe Li Snug set-associative caches: Reducing leakage power of instruction and data caches with no performance penalties. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Caches, leakage power, drowsy caches, cache decay
43Sailesh Kumar, John Maschmeyer, Patrick Crowley Exploiting locality to ameliorate packet queue contention and serialization. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF packet queuing, cache, buffering
42Junpei Zushi, Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada, Koji Inoue Improved Policies for Drowsy Caches in Embedded Processors. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Power Cache Design, Leakage Energy, Drowsy Cache
42James E. Bennett, Michael J. Flynn Prediction Caches for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer
42John Cieslewicz, William Mee, Kenneth A. Ross Cache-conscious buffering for database operators with state. Search on Bibsonomy DaMoN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu Active Cache Emulator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Livio Soares, David K. Tam, Michael Stumm Reducing the harmful effects of last-level cache polluters with an OS-level, software-only pollute buffer. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Chuanjun Zhang, Bing Xue Two dimensional highly associative level-two cache design. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Chih-Wen Hsueh, Jen-Feng Chung, Lan-Da Van, Chin-Teng Lin Anticipatory access pipeline design for phased cache. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, Avinoam Kolodny The Power of Priority: NoC Based Distributed Cache Coherency. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Ismail Kadayif, Mahmut T. Kandemir Modeling and improving data cache reliability. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF vulnerability factors, reliability, data integrity, soft errors, data caches
42Subramanian Ramaswamy, Sudhakar Yalamanchili Improving cache efficiency via resizing + remapping. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Chuanjun Zhang Balanced instruction cache: reducing conflict misses of direct-mapped caches through balanced subarray accesses. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten Modeling Cache Sharing on Chip Multiprocessor Architectures. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Xiangrong Zhou, Peter Petrov Low-power cache organization through selective tag translation for embedded processors with virtual memory support. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Chia-Lin Yang, Hong-Wei Tseng, Chia-Chiang Ho, Ja-Ling Wu Software-Controlled Cache Architecture for Energy Efficiency. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Rama Sangireddy, Huesung Kim, Arun K. Somani Low-Power High-Performance Reconfigurable Computing Cache Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Gianni Franceschini Proximity Mergesort: optimal in-place sorting in the cache-oblivious model. Search on Bibsonomy SODA The full citation details ... 2004 DBLP  BibTeX  RDF
42Kim M. Hazelwood, James E. Smith Exploring Code Cache Eviction Granularities in Dynamic Optimization Systems. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Mazen Kharbutli, Keith Irwin, Yan Solihin, Jaejin Lee Using Prime Numbers for Cache Indexing to Eliminate Conflict Misses. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Jie Tao 0001, Josef Weidendorfer Cache Simulation Based on Runtime Instrumentation for OpenMP Applications. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Jingren Zhou, Kenneth A. Ross Buffering Database Operations for Enhanced Instruction Cache Performance. Search on Bibsonomy SIGMOD Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Chunrong Lai, Shih-Lien Lu Efficient Victim Mechanism on Sector Cache Organization. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Yen-Jen Chang, Shanq-Jang Ruan, Feipei Lai Design and analysis of low-power cache using two-level filter scheme. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Sangman Moh, Jae-Hong Shim, Yang-Dong Lee, Jeong-A Lee, Beom-Joon Cho Design and Evaluation of a Cache Coherence Adapter for the SMP Nodes Interconnected via Xcent-Net. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, Ziang Hu Compiler-Assisted Cache Replacement: Problem Formulation and Performance Evaluation. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license