The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fabrication with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1968 (16) 1972-1984 (21) 1985-1986 (16) 1987-1988 (20) 1989 (18) 1990-1991 (26) 1992-1993 (23) 1994-1995 (33) 1996 (19) 1997 (29) 1998 (32) 1999 (62) 2000 (53) 2001 (73) 2002 (70) 2003 (113) 2004 (133) 2005 (172) 2006 (185) 2007 (204) 2008 (230) 2009 (184) 2010 (126) 2011 (131) 2012 (151) 2013 (179) 2014 (161) 2015 (170) 2016 (223) 2017 (241) 2018 (261) 2019 (245) 2020 (213) 2021 (225) 2022 (223) 2023 (245) 2024 (31)
Publication types (Num. hits)
article(1661) book(6) data(1) incollection(18) inproceedings(2730) phdthesis(131) proceedings(10)
Venues (Conferences, Journals, ...)
Sensors(300) NEMS(224) SCF(121) CoRR(98) ICRA(89) WSC(84) IEEE Access(62) IROS(62) Microelectron. J.(62) IEEE SENSORS(60) IEICE Trans. Electron.(60) CHI(54) EMBC(54) MHS(53) IEEE Trans. Comput. Aided Des....(50) Int. J. Autom. Technol.(49) More (+10 of total 923)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1097 occurrences of 742 keywords

Results
Found 4557 publication records. Showing 4557 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Anita Kumari, Javier F. Pulecio, Sanjukta Bhanja Defect characterization in magnetic field coupled arrays. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Tom Igoe An ecology of tangible interaction. Search on Bibsonomy TEI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Bradley J. Nelson, Lixin Dong, Fumihito Arai Micro/Nanorobots. Search on Bibsonomy Springer Handbook of Robotics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Gate Sizing for Binning Yield Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Todd M. Austin, Valeria Bertacco, Scott A. Mahlke, Yu Cao Reliable Systems on Unreliable Fabrics. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15X. Y. Kou, Sooi-thor Tan Heterogeneous Object Design: An Integrated CAX Perspective. Search on Bibsonomy Heterogeneous Objects Modelling and Applications The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sudip Roy 0001, Ajit Pal Why to Use Dual-Vt, If Single-Vt Serves the Purpose Better under Process Parameter Variations? Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Brendan Hargreaves, Henrik Hult, Sherief Reda Within-die process variations: How accurately can they be statistically modeled? Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Shuo Wang, Jianwei Dai, Lei Wang 0003 Defect-tolerant digital filtering with unreliable molecular electronics. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hamed F. Dadgour, Vivek De, Kaustav Banerjee Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Kevin Brownell, Gu-Yeon Wei, David M. Brooks Evaluation of voltage interpolation to address process variations. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Haiou Zhang, Haiping Zou, Guilan Wang, Weisheng Xia Robotic Digital Manufacturing of FGM Component. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FGM, digital manufacturing, powder feeder, robotic
15Haiou Zhang, Jiang Jiang, Haiping Zou, Guilan Wang Multi-axis Path Planning for Hybrid Plasma Deposition and Milling Based on Slicing Characteristics. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Overhang structure, Slicing characteristics, Process planning, robotic system
15Jennifer Blain Christen, Brian Iglehart, Philippe O. Pouliquen Ultra-high ratio dilution microfluidic system for single strand DNA isolation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Christof Teuscher, Anders A. Hansson Non-traditional irregular interconnects for massive scale SoC. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yehia Massoud, Arthur Nieuwoudt Performance analysis of optimized carbon nanotube interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Melanie Po-Leen Ooi, Ye Chow Kuang, Chris Chan, Serge N. Demidenko Predictive Die-Level Reliability-Yield Modeling for Deep Sub-micron Devices. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wafer testing, reliability, integrated circuits, burn-in, yield modelling
15Yifan Zhu, Frank Mueller 0001 DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, dynamic voltage scaling, leakage, feedback control
15Aaron M. Hoover, Ronald S. Fearing Rapidly Prototyped Orthotweezers for Automated Microassembly. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum, Mohammad M. Mojarradi, Srinivas Katkoori, Taher Daud Adaptive and Evolvable Analog Electronics for Space Applications. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive Hardware, Field Programmable Arrays
15Wararat Rungworawut, Twittie Senivongse, Karl Cox Achieving Managerial Goals in Business Process Components Design Using Genetic Algorithms. Search on Bibsonomy SERA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jia Wang 0003, Ming-Yang Kao, Hai Zhou 0001 Address generation for nanowire decoders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF testing, decoder, nanowire
15S. Ramsundar, Ahmad A. Al-Yamani, Dhiraj K. Pradhan Defect Tolerance in Nanotechnology Switches Using a Greedy Reconfiguration Algorithm. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dakshi Agrawal, Selçuk Baktir, Deniz Karakoyunlu, Pankaj Rohatgi, Berk Sunar Trojan Detection using IC Fingerprinting. Search on Bibsonomy S&P The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Daniel Mazor, Michael L. Bushnell, David J. Mulligan, Richard J. Blaikie Fault Models and Device Yield of a Large Population of Room Temperature Operation Single-Electron Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Wei Zhang 0012, Li Shang, Niraj K. Jha NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Frédéric Thiesse, Markus Dierkes, Elgar Fleisch LotTrack: RFID-Based Process Control in the Semiconductor Industry. Search on Bibsonomy IEEE Pervasive Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pervasive Computing, Mobile Applications
15Tao Jiang 0028, R. D. (Shawn) Blanton Inductive fault analysis of surface-micromachined MEMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Seung Soo Han, Dong Sun Seo, Sang Jeen Hong Modeling and Characterization of Plasma Processes Using Modular Neural Network. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Dongsheng Ma, Janet Meiling Wang, Pablo Vazquas Adaptive on-chip power supply with robust one-cycle control technique. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive output, one-cycle control, switching converter, robust design
15Hong Z. Tan, Bernard D. Adelstein, Ryan Traylor, Matthew Kocsis, E. Daniel Hirleman Discrimination of Real and Virtual High-Definition Textured Surfaces. Search on Bibsonomy HAPTICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real texture, virtual texture, discrimination, texture perception
15Nakul Haridas, Ahmet T. Erdogan, Tughrul Arslan, Mark L. Begbie Adaptive Micro-Antenna on Silicon Substrate. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Cristian Grecu, André Ivanov, Res Saleh, Partha Pratim Pande NoC Interconnect Yield Improvement Using Crosspoint Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Sung Woo Chung, Kevin Skadron A Novel Software Solution for Localized Thermal Problems. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yaw-Jen Chang, Yuan Kang, Chin-Liang Hsu, Chi-Tim Chang, Tat Yan Chan Virtual Metrology Technique for Semiconductor Manufacturing. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Serge N. Demidenko, Victor Lai Industry-Academia Collaboration in Undergraduate Test Engineering Unit Development. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Azadeh Davoodi, Ankur Srivastava 0001 Variability driven gate sizing for binning yield optimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speed binning, process variations, gate sizing
15Chris Dwyer, Alvin R. Lebeck, Daniel J. Sorin Self-Assembled Architectures and the Temporal Aspects of Computing. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
15Todd M. Austin, Valeria Bertacco, David T. Blaauw, Trevor N. Mudge Opportunities and challenges for better than worst-case design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Shao-Ming Yu, Yiming Li 0005 A Pattern-Based Domain Partition Approach to Parallel Optical Proximity Correction in VLSI Designs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tae-Seon Kim Chip Speed Prediction Model for Optimization of Semiconductor Manufacturing Process Using Neural Networks and Statistical Methods. Search on Bibsonomy ISNN (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Pei-Chann Chang, Yen-Wen Wang, Chen-Hao Liu New Operators for Faster Convergence and Better Solution Quality in Modified Genetic Algorithm. Search on Bibsonomy ICNC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15P. Zhang, Graham A. Jullien Microneedle Arrays for Drug Delivery and Fluid Extraction. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tim Fox, Lou Covey, Susan Mack, David Heacock, Ed P. Huijbregts, Vess Johnson, Avner Kornfeld, Andrew Yang, Paul S. Zuchowski Should our power approach be current? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power design, energy consumption, power analysis, leakage current, dynamic power, static power
15Guangyi Shi, Qiang Huang 0002, Wen J. Li, Wenqian Huang, Gengchen Shi, Kejie Li Towards Automated Micromachining of PMMA Micro Channels using CO/Sub 2/ Laser and Sacrificial Mask Process. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Erik J. Mentze, Kevin M. Buck, Herbert L. Hess, David Cox, Mohammad M. Mojarradi A Low Voltage to High Voltage Level Shifter in a Low Voltage, 0.25 µm, PD SOI Process. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15R. A. Yan, H. N. Cheang, F. Lin Spline-based volumetric modeling and printing for bioceramic implants. Search on Bibsonomy VRCAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bioceramic, biocomposite implant, spline-based volumetric modeling, three-dimensional printing
15Bi-Chu Wu, Chung-Kai Chen, Gin-Shu Young, Yu-Ching Liu, Su-Jan Lee Fast Prototyping of Protein Reaction Microfluidic Chips. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Dijiang Huang, Manish Mehta 0003, Deep Medhi, Lein Harn Location-aware key management scheme for wireless sensor networks. Search on Bibsonomy SASN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sensor networks, key management, probabilistic key sharing
15Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
15Hiroshi Saito, Kenshu Seto, Yoshihisa Kojima, Satoshi Komatsu, Masahiro Fujita Engineering Changes in Field Modifiable Architectures. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hugo Hedberg, Thomas Lenart, Henrik Svensson, Peter Nilsson 0001, Viktor Öwall Teaching Digital HW-Design by Implementing a Complete MP3 Decoder. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tiansheng Zhou, Pat Wright, Jared Crawford, Graham Mckinnon, Yunfa Zhang MEMS 3D Optical Mirror/Scanner. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15J Strother Moore Functional formal methods. Search on Bibsonomy ICFP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional programming, Java Virtual Machine, microarchitecture, software verification, Common Lisp, hardware verification, mechanical theorem proving
15Leonard M. Adleman, Qi Cheng 0001, Ashish Goel, Ming-Deh A. Huang, David Kempe 0001, Pablo Moisset de Espanés, Paul W. K. Rothemund Combinatorial optimization problems in self-assembly. Search on Bibsonomy STOC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Roger F. Browne, Serge N. Demidenko, R. O'Driscoll Harnessing Geographically Distributed Cooperation in Microtechnology Course at Massey University. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Daniel Ragan, Peter Sandborn, Paul Stoaks A detailed cost model for concurrent use with hardware/software co-design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cost-performance trade-off, cost modeling
15Adrian M. Ionescu, Michel J. Declercq, Santanu Mahapatra, Kaustav Banerjee, Jacques Gautier Few electron devices: towards hybrid CMOS-SET integrated circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Iinverter, hybrid CMOS-SET Circuits, single-Electron transistors, ultimate CMOS, low power, quantizer, nanoelectronics
15Martin R. Frerichs Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling: invited talk. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Thomas L. Sterling An Introduction to the Gilgamesh PIM Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Mukund Sivaraman, Andrzej J. Strojwas Primitive path delay faults: identification and their use in timinganalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Mathias Schulz, Timothy D. Stanley, Bernhard Renelt, Roland Sturm, Oliver Schwertschlager Simulation based decision support for future 300mm automated material handling. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Erol Gelenbe, Rong Wang RNN Based Photo-Resist Shape Reconstruction from Scanning Electron Microscopy. Search on Bibsonomy IJCNN (5) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Luke Roth, Lee D. Coraor, David L. Landis, Paul T. Hulina, Scott Deno Computing in Memory Architectures for Digital Image Processing. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Zhen Luo, Margaret Martonosi, Pranav Ashar An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI CAD Layout Design Rule Checking. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Scanline Algorithm, Configurable Hardware, FPGA, DRC
15Charles L. Seitz Silicon Adventures-Go Ahead; Be Bold! Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Wen-Ben Jone, K. S. Tsai Confidence analysis for defect-level estimation of VLSI random testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect level analysis, test confidence analysis, random testing, VLSI testing, test quality
15A. Castillejo, D. Veychard, Salvador Mir, Jean-Michel Karam, Bernard Courtois Failure mechanisms and fault classes for CMOS-compatible microelectromechanical systems. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Poorvi L. Vora, H. Joel Trussell Mathematical methods for the analysis of color scanning filters. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Michel Kafrouni, Claude Thibeault, Yvon Savaria A Cost Model for VLSI / MCM Systems. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Yuji Shigehiro, Takashi Nagata, Isao Shirakawa, Itthichai Arungsrisangchai, Hiromitsu Takahashi Automatic layout recycling based on layout description and linear programming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Jiao Fan, D. Zaleta, Chung-Kuan Cheng, S. H. Lee Physical models and algorithms for optoelectronic MCM layout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Izak Duenyas, Wallace J. Hopp Estimating the throughput of an exponential CONWIP assembly system. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Assembly-like queues, approximations, throughput, design issues
15Ashish Pancholy, Janusz Rajski, Larry J. McNaughton Empirical Failure Analysis and Validation of Fault Models in CMOS VLSI Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Janet L. Murdock, Barbara Hayes-Roth Intelligent Monitoring and Control of Semiconductor Manufacturing Equipment. Search on Bibsonomy IEEE Expert The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15C. C. Martin, K. K. Hutchison Computer aided concurrent design for printed wiring boards. Search on Bibsonomy IEA/AIE (1) The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Prolog
15Andrzej J. Strojwas CMU-CAM system. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
11Mezache Zinelabiddine, Abdullah Alzahrani, Abdullah Alwabli, Amar Y. Jaffar, Enas Ali, Mohammed S. Alzaidi Miniaturization and Fabrication of a Novel Cross-Fractal Biosensor and Sensor for Characterizing 3D Printing Electromagnetic Properties in Polylactic Acid. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mehrdad Harifi-Mood, Nima Souzandeh, Peyman Pourmohammadi, Djilali Hammou, Bryan Hosein, Sonia Aïssa, Serioja Ovidiu Tatu Fabrication Error Modeling and Analysis of an E-Band MHMIC Balanced Power Detector. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Xjongdo Kim, Chanhyeok Lee, Changjae Lee, Navchtsetseg Nergui, Seunghwan Noh, Doyeon Lim, Kwang Hoon Song, Sejung Kim, Youngjun Song The Fabrication of Directional Carbon Nanotube Networks by Dielectrophoresis With Layer-by-Layer Deposition. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Weihang Tian, Shuichi Wakimoto, Daisuke Yamaguchi, Takefumi Kanda Fabrication Process for Twisting Artificial Muscles by Utilizing Braiding Technology and Water-Soluble Fibers. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mare Hirsch, Gabrielle Benabdallah, Jennifer Jacobs 0001, Nadya Peek Nothing Like Compilation: How Professional Digital Fabrication Workflows Go Beyond Extruding, Milling, and Machines. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Andrew Chung Chee Law, Rongxuan Wang, Jihoon Chung, Ezgi Kucukdeger, Yang Liu, Ted Barron, Blake N. Johnson, Zhenyu James Kong Process parameter optimization for reproducible fabrication of layer porosity quality of 3D-printed tissue scaffold. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yan Deng, Yue Zhao, Jianguo Zhang, Tatsuo Arai, Qiang Huang, Xiaoming Liu 0007 Fabrication of Magnetic Microrobots by Assembly. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yi-Hsuan Hsiao, Suhan Kim, Steven Ceron, Zhijian Ren, Yufeng Chen 0003 Modular and Scalable Fabrication of Insect-Scale Aerial Robots toward Demonstrating Swarm Flights. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yinding Chi, Yao Zhao, Yaoye Hong, Yanbin Li, Jie Yin A Perspective on Miniature Soft Robotics: Actuation, Fabrication, Control, and Applications. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Athanassios Moschos, Fabian Monrose, Angelos D. Keromytis Towards Practical Fabrication Stage Attacks Using Interrupt-Resilient Hardware Trojans. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Evgeny Stemasov, Tobias Wagner 0002, Ali Askari, Jessica Janek, Omid Rajabi, Anja Schikorr, Julian Frommel, Jan Gugenheimer, Enrico Rukzio DungeonMaker: Embedding Tangible Creation and Destruction in Hybrid Board Games through Personal Fabrication Technology. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Mohammad Eslami, Tara Ghasempouri, Samuel Pagliarini SCARF: Securing Chips with a Robust Framework against Fabrication-time Hardware Trojans. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Evgeny Stemasov, Simon Demharter, Max Rädler, Jan Gugenheimer, Enrico Rukzio pARam: Leveraging Parametric Design in Extended Reality to Support the Personalization of Artifacts for Personal Fabrication. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Nicholus R. Clinkinbeard, Reza Montazami, Nicole N. Hashemi Accelerating Hydrodynamic Fabrication of Microstructures using Deep Neural Networks. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Rejin John Varghese, Matteo Pizzi, Aritra Kundu, Agnese Grison, Etienne Burdet, Dario Farina Design, Fabrication and Evaluation of a Stretchable High-Density Electromyography Array. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Aniruth A, Chirag Satpathy, Jothika K, Nitteesh M, Gokulraj M, Venkatram K, Harshith G, Shristi S, Anushka Vani, Jonathan Spurgeon Autonomous Multi-Rotor UAVs: A Holistic Approach to Design, Optimization, and Fabrication. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hongwei Xu, Wei Qin, Jinhua Hu, Yan-Ning Sun, Youlong Lv, Jie Zhang 0041 A Copula network deconvolution-based direct correlation disentangling framework for explainable fault detection in semiconductor wafer fabrication. Search on Bibsonomy Adv. Eng. Informatics The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Yumeng Shi, Yu Cai, Shunyuan Lou, Yining Chen Explainable prediction of deposited film thickness in IC fabrication with CatBoost and SHapley Additive exPlanations (SHAP) models. Search on Bibsonomy Appl. Intell. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hiroshi Suenobu, Shin-ichi Yamamoto, Michio Takikawa, Naofumi Yoneda Design and Fabrication of a Metasurface for Bandwidth Enhancement of RCS Reduction Based on Scattering Cancellation. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jisung Kim, Hee Soo Jeong, Sang Beom Jun, Jong-Mo Seo Implantable Neural Electrodes Fabrication Based on Perfluoroalkoxyalkane Film. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Hao Qiu, Yixiong Feng, Yicong Gao, Zhaoxi Hong, Jianrong Tan Gradient design and fabrication methodology for interleaved self-locking kirigami panels. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 4557 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license