The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Raphael Fonte Boa, Dulcinéia Oliveira da Penha, Alexandre Marques Amaral, Márcio Oliveira Soares de Souza, Carlos Augusto Paiva da Silva Martins, Petr Yakovlevitch Ekel RCMP: A Reconfigurable Chip-Multiprocessor Architecture. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Simon Kågström, Håkan Grahn, Lars Lundberg Experiences from Implementing Multiprocessor Support for an Industrial Operating System Kernel. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Kyong Jung, Chanik Park A Technique to Reduce Preemption Overhead in Real-Time Multiprocessor Task Scheduling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Akira Yamawaki 0002, Masahiko Iwane Coherence Maintenances to realize an efficient parallel processing for a Cache Memory with Synchronization on a Chip-Multiprocessor. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Minkyu Park, Sangchul Han, Heeheon Kim, Seongje Cho, Yookun Cho Comparison of Tie-Breaking Policies for Real-Time Scheduling on Multiprocessor. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Jan Madsen, Shankar Mahadevan, Kashif Virk, Mercury Gonzalez Network-on-Chip Modeling for System-Level Multiprocessor Simulation. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Pawel Hajto, Marcin Skrzypek Wavelet-Neuronal Resource Load Prediction for Multiprocessor Environment. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Robert W. Wisniewski, Bryan S. Rosenburg Efficient, Unified, and Scalable Performance Monitoring for Multiprocessor Operating Systems. Search on Bibsonomy SC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Syed Saif Abrar High Performance Multiprocessor Architecture Design Methodology for Application-Specific Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Björn Andersson, Jan Jonsson Preemptive Multiprocessor Scheduling Anomalies. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Lars Lundberg Analyzing Fixed-Priority Global Multiprocessor Scheduling. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Muhammet Fikret Ercan, Ceyda Oguz, Yu-Fai Fung Performance Evaluation of Heuristics for Scheduling Pipelined Multiprocessor Tasks. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Abhishek Chandra, Micah Adler, Prashant J. Shenoy Deadline Fair Scheduling: Bridging the Theory and Practice of Proportionate Fair Scheduling in Multiprocessor Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25M. Kacarska, Suzana Loskovska, D. Andonov The advantages of multiprocessor systems for ACEIT and ICEIT inverse problem solution. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Rolf Drechsler, Nicole Drechsler, Elke Mackensen, Tobias Schubert 0001, Bernd Becker 0001 Design Reuse by Modularity: A Scalable Dynamical (Re)Configurable Multiprocessor System. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25V. J. Fazio, R. D. Pose Distributed Route Initialization Algorithms for the Monash Secure RISC Multiprocessor. Search on Bibsonomy HICSS (5) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, Kenneth G. Wilson, Kunyung Chang The Case for a Single-Chip Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Yuguang Wu, Richard R. Muntz Stack Evaluation of Arbitrary Set-Associative Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coherence by invalidation, stack evaluation, simulation, Cache memory, set-associative
25Klaus Gaedke, Hartwig Jeschke, Peter Pirsch A VLSI based MIMD architecture of a multiprocessor system for real-time video processing applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Jean-Marc Kuntz Performance Evaluation of Cache Memories in Tightly Coupled Multiprocessor Systems. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25Mark S. Squillante, Randolph D. Nelson Analysis of Task Migration in Shared-Memory Multiprocessor Scheduling. Search on Bibsonomy SIGMETRICS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Rajeev Jog, Philip L. Vitale, James R. Callister Performance Evaluation of a Commercial Cache-Coherent Shared Memory Multiprocessor. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Xiaodong Zhang 0001, P. Srinivasan Distributed task processing performance on a NUMA shared memory multiprocessor. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Edward Rothberg, Anoop Gupta Techniques for improving the performance of sparse matrix factorization on multiprocessor workstations. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25André Seznec, Yvon Jégou Towards a large number of pipeline processors in a tightly coupled multiprocessor using no cache. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25Bob Beck, Bob Kasten, Shreekant S. Thakkar VLSI Assist For a Multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Saul A. Kravitz, Rob A. Rutenbar Multiprocessor-based placement by simulated annealing. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
25Patrick Valduriez, Georges Gardarin Join and Semijoin Algorithms for a Multiprocessor Database Machine. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
25Terrence R. Mckelvey, Dharma P. Agrawal Design of software for distributed/multiprocessor systems. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
25Gerhard Fritsch, Horst Müller Parallelization of a minimization problem for multiprocessor systems. Search on Bibsonomy CONPAR The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
25John Mitchell, Charles Knadler, Gary Lunsford, Steve Yang Multiprocessor performance analysis. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
25Magnus Karlsson 0002, Per Stenström Performance Evaluation of a Cluster-Based Multiprocessor Built from ATM Switches and Bus-Based Multiprocessor Servers. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
25Joseph Pallas, David M. Ungar Multiprocessor Smalltalk: A Case Study of a Multiprocessor-Based Programming Environment. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Smalltalk, Smalltalk-80
24Jong-Myon Kim The Impact of Multimedia Extensions for Multimedia Applications on Mobile Computing Systems. Search on Bibsonomy APCHI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mobile multimedia computing systems, Multiprocessor arrays, Parallel processing, Multimedia extensions
24Dhiraj D. Kalamkar, Mainak Chaudhuri, Mark A. Heinrich Simplifying Active Memory Clusters by Leveraging Directory Protocol Threads. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active memory cluster, directory protocol thread, active memory address remapping, parallel reduction, coherence protocol extension, software protocol, multi-threaded node, dual-core node, active memory architecture, distributed shared memory, multiprocessor architecture, memory controller, matrix transpose
24Mirko Loghi, Massimo Poncino, Luca Benini Cache coherence tradeoffs in shared-memory MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, Cache coherence
24Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Slack Reclamation, Multiprocessor Scheduling, Energy-Efficient Scheduling, Real-Time Task Scheduling
24Lisa Higham, LillAnne Jackson, Jalal Kawash Capturing Register and Control Dependence in Memory Consistency Models with Applications to the Itanium Architecture. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multiprocessor memory consistency, register and control dependency, process coordination, Itanium
24Ozcan Ozturk 0001, Guangyu Chen, Mahmut T. Kandemir Multi-compilation: capturing interactions among concurrently-executing applications. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-compilation, compiler, chip multiprocessor
24Nathan Fisher, James H. Anderson, Sanjoy K. Baruah Task Partitioning upon Memory-Constrained Multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Memory-constrained systems, Utilization-based schedulability tests, Multiprocessor systems, Partitioned scheduling
24Joël Goossens, Shelby H. Funk, Sanjoy K. Baruah Priority-Driven Scheduling of Periodic Task Systems on Multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessor scheduling, earliest deadline first, periodic tasks
24Allon Adir, Hagit Attiya, Gil Shurek Information-Flow Models for Shared Memory with an Application to the PowerPC Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF PowerPC architecture, synchronization instructions, models, specification, consistency, Shared memory, multiprocessor systems, out-of-order execution
24C. R. Venugopal, S. S. S. P. Rao Impact of Delays in Parallel I/O System: An Empirical Study. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel input output system, disk access delays, disk performance, parallel distributed file system, parallel processing, delays, distributed databases, multiprocessing systems, multiprocessor system, software performance evaluation, communication links, application performance, processor speeds, performance benefits
24Michel Dubois 0001, Christoph Scheurich Memory Access Dependencies in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF memory access dependencies, logical concurrency model, pipelining, multiprocessing systems, rules, shared-memory multiprocessors, multiprogramming, storage allocation, multiprocessor architectures, private caches
24T. Anthony Marsland, Liming Meng Control Bottlenecks in a Network Database Mechine. Search on Bibsonomy ICCI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Multiprocessor Database Machines, Network Data Processing, Performance Analysis, Information Processing, Bottlenecks
24Yun Wen, Hua Xu, Jiadong Yang A heuristic-based hybrid genetic algorithm for heterogeneous multiprocessor scheduling. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessor scheduling, genetic algorithm, memetic algorithm, variable neighborhood search
24Hennadiy Leontyev, James H. Anderson A hierarchical multiprocessor bandwidth reservation scheme with timing guarantees. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multiprocessor scheduling, Containers, Soft real-time, Hierarchical scheduling
24Simon Schliecker, Rolf Ernst A recursive approach to end-to-end path latency computation in heterogeneous multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF path latency, real-time, multiprocessor
24Xi Zhang 0008, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001, Jinglei Wang A Novel Cache Organization for Tiled Chip Multiprocessor. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-level Directory, Chip Multiprocessor(CMP), Cache Organization, Tiled Architecture
24Alexander Khutoretskij, Sergei Bredikhin Distributions and Schedules of CPU Time in a Multiprocessor System When the Users' Utility Functions Are Linear. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF paid services, scheduling, linear programming, Distribution, multiprocessor system, CPU time, market equilibrium
24Magnus Jahre, Lasse Natvig A light-weight fairness mechanism for chip multiprocessor memory systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic miss handling architecture, miss status holding register, fairness, chip multiprocessor, interference, mechanism
24Sanjoy K. Baruah, Nathan Fisher Non-migratory feasibility and migratory schedulability analysis of multiprocessor real-time systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiprocessor platforms, Recurrent tasks, Schedulability analysis, Fixed-priority scheduling, Sufficient conditions, Feasibility analysis
24Traian Pop, Paul Pop, Petru Eles, Zebo Peng Analysis and Optimisation of Hierarchically Scheduled Multiprocessor Embedded Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Static/dynamic communication protocols, Hierarchical schedulers, Multiprocessor embedded systems
24Hiroaki Inoue, Junji Sakai, Sunao Torii, Masato Edahiro FIDES: An advanced chip multiprocessor platform for secure next generation mobile terminals. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Secure mobile terminal, chip multiprocessor, SELinux
24Paolo Detti Algorithms for multiprocessor scheduling with two job lengths and allocation restrictions. Search on Bibsonomy J. Sched. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multiprocessor scheduling, Polynomial algorithms, High multiplicity
24Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
24Jian-Jia Chen, Chuan-Yue Yang, Hsueh-I Lu, Tei-Wei Kuo Approximation Algorithms for Multiprocessor Energy-Efficient Scheduling of Periodic Real-Time Tasks with Uncertain Task Execution Time. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Expected Energy Consumption Minimization, Probability, Dynamic Voltage Scaling (DVS), Multiprocessor Scheduling, Energy-Efficient Scheduling
24Yi-Neng Lin, Ying-Dar Lin, Yuan-Cheng Lai Thread Allocation in Chip Multiprocessor Based Multithreaded Network Processors. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thread allocation, simulation, modeling, Petri net, chip multiprocessor
24Ce Li, Yang Jiang, Zhenyu Wu, Takahiro Watanabe A Multiprocessor System for a Small Size Soccer Robot Control System. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MP, FPGA, multiprocessor, soccer robot
24Christopher Y. Crutchfield, Zoran Dzunic, Jeremy T. Fineman, David R. Karger, Jacob Scott 0001 Improved approximations for multiprocessor scheduling under uncertainty. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling under uncertainty, approximation algorithms, multiprocessor scheduling, stochastic scheduling
24Hazem Moussa, Amer Baghdadi, Michel Jézéquel Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flexible LDPC decoder, multiprocessor, NoC, de Bruijn graph
24Sebastian Herbert, Diana Marculescu Characterizing chip-multiprocessor variability-tolerance. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequency islands, chip-multiprocessor, process variability
24Lee Kee Goh, Bharadwaj Veeravalli, Sivakumar Viswanathan An Energy-Aware Gradient-Based Scheduling Heuristic for Heterogeneous Multiprocessor Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, power management, dynamic voltage scaling, Energy-aware scheduling, heterogeneous multiprocessor
24Woo-Chul Jeun, Soonhoi Ha Effective OpenMP Implementation and Translation For Multiprocessor System-On-Chip without Using OS. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware semaphores, parallel programming, OpenMP, shared memory system, multiprocessor system-on-chip
24Changyun Zhu, Zhenyu (Peter) Gu, Robert P. Dick, Li Shang Reliable multiprocessor system-on-chip synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, multiprocessor system-on-chip, thermal
24Chong Sun, Li Shang, Robert P. Dick Three-dimensional multiprocessor system-on-chip thermal optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, 3D, multiprocessor system-on-chip, thermal
24Christof Pitter, Martin Schoeberl Towards a Java multiprocessor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, multiprocessor, shared memory
24Slo-Li Chu Critical Block Scheduling: A Thread-Level Parallelizing Mechanism for a Heterogeneous Chip Multiprocessor Architecture. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Critical Block Scheduling, Octans, Chip Multiprocessor (CMP), Processor-in-Memory
24Peng Liu 0027, Guojun Dai, Tingting Fu, Hong Zeng, Xiang Zhang A Lazy EDF Interrupt Scheduling Algorithm for Multiprocessor in Parallel Computing Environment. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interrupt scheduling, Lazy EDF, Real-time system, Parallel computing, Multiprocessor
24Slo-Li Chu Toward to Utilize the Heterogeneous Multiple Processors of the Chip Multiprocessor Architecture. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Swing Scheduling, Octans, Chip Multiprocessor (CMP), Processor-in-Memory
24Dennis Abts, Abdulla Bataineh, Steve Scott, Greg Faanes, Jim Schwarzmeier, Eric Lundberg, Tim Johnson, Mike Bye, Gerald Schwoerer The Cray BlackWidow: a highly scalable vector multiprocessor. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-radix, architecture, multiprocessor, shared memory, distributed shared memory, vector, fat-tree, MPP
24Carlo Brandolese, William Fornaciari, Luigi Pomante, Fabio Salice, Donatella Sciuto Affinity-Driven System Design Exploration for Heterogeneous Multiprocessor SoC. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, metrics, System-on-Chip, multiprocessor systems, heterogeneous systems, codesign
24Mahmut T. Kandemir Reducing energy consumption of multiprocessor SoC architectures by exploiting memory bank locality. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Banked memory systems, bank locality, compiler optimization, energy consumption, multiprocessor SoC
24Heng-Ruey Hsu, Jian-Jia Chen, Tei-Wei Kuo Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessor synthesis, real-time systems, task scheduling, energy-aware systems, task partitioning
24Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
24Michela Becchi, Patrick Crowley Dynamic thread assignment on heterogeneous multiprocessor architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor, heterogeneous architectures
24Sathish Gopalakrishnan, Marco Caccamo Task Partitioning with Replication upon Heterogeneous Multiprocessor Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recurring tasks, Fault tolerance, Partitioning, Multiprocessor scheduling, Approximation scheme
24Taeho Kgil, Shaun D'Souza, Ali G. Saidi, Nathan L. Binkert, Ronald G. Dreslinski, Trevor N. Mudge, Steven K. Reinhardt, Krisztián Flautner PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D stacking technology, tier 1 server, web/file/streaming server, low power, chip multiprocessor, full-system simulation
24Li Yang 0001, Lu Peng 0001 SecCMP: a secure chip-multiprocessor architecture. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF security, fault-tolerance, encryption, chip-multiprocessor
24Xinping Zhu, Wei Qin Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-tolerance, system-on-chip, network-on-chip, multiprocessor system, run-time verification, retargetable simulation
24Mario Diaz-Nava, Patrick Blouet, Philippe Teninge, Marcello Coppola, Tarek Ben Ismail, Samuel Picchiottino, Robin Wilson An Open Platform for Developing Multiprocessor SoCs. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware emulation platform, computing nodes, HW/SW development, microprocessors, multiprocessor systems, MPSoCs, network interfaces
24Peter G. Sassone, D. Scott Wills Scaling Up the Atlas Chip-Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic multithreading, chip-multiprocessor, scaling
24Paolo Detti, Alessandro Agnetis, Gianfranco Ciaschetti Polynomial Algorithms for a Two-Class Multiprocessor Scheduling Problem in Mobile Telecommunications Systems. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor scheduling, polynomial algorithms, high-multiplicity
24Ceyda Oguz, Muhammet Fikret Ercan A Genetic Algorithm for Hybrid Flow-shop Scheduling with Multiprocessor Tasks. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor task scheduling, hybrid flow-shop, genetic algorithm
24Tali Moreshet, R. Iris Bahar, Maurice Herlihy Energy reduction in multiprocessor systems using transactional memory. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor, power, transactional memory
24Özgün Paker, Jens Sparsø, Niels Haandbæk, Mogens Isager, Lars Skovby Nielsen A Low-Power Heterogeneous Multiprocessor Architecture for Audio Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ASIP-application specific instruction set processor, low power, multiprocessor, heterogeneous, scalable architecture, audio signal processing
24Sanjoy K. Baruah, Giuseppe Lipari A Multiprocessor Implementation of the Total Bandwidth Server. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF periodic task systems, aperiodic jobs, Real-time systems, multiprocessor scheduling, earliest deadline first
24Xiaofang Wang, Sotirios G. Ziavras A Configurable Multiprocessor and Dynamic Load Balancing for Parallel LU Factorization. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, parallel processing, multiprocessor, dynamic load balancing, LU factorization
24Sanjoy K. Baruah Task Partitioning Upon Heterogeneous Multiprocessor Platforms. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Approximation algorithms, Partitioning, Multiprocessor scheduling, Periodic tasks
24Mirko Loghi, Massimo Poncino, Luca Benini Cycle-accurate power analysis for multiprocessor systems-on-a-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip
24Weiping Zhu 0001 Cluster Queue Structure for Shared-Memory Multiprocessor Systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF queue structure, simulation and multiprocessor, performance evaluation, task scheduling
24Baback A. Izadi, Füsun Özgüner Enhanced Cluster k-Ary n-Cube, A Fault-Tolerant Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF spare allocation, augmented multiprocessor, wave switching, Fault tolerance, reconfiguration, hypercube, k-ary n-cube
24Hakan Aydin, Qi Yang Energy-Aware Partitioning for Multiprocessor Real-Time Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Variable voltage scheduling, Power-aware real-time systems, Load balancing, Dynamic voltage scaling, Real-time scheduling, Multiprocessor scheduling, Partitioned scheduling
24Satoshi Fujita, Masayuki Masukawa, Shigeaki Tagashira A Fast Branch-and-Bound Scheme for the Multiprocessor Scheduling Problem with Communication Time. Search on Bibsonomy ICPP Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF lower bound on the execution time, Branch-and-bound algorithm, communication time, multiprocessor scheduling problem
24Dongkun Shin, Jihong Kim 0001 Power-aware scheduling of conditional task graphs in real-time multiprocessor systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF conditional task graph, real-time systems, multiprocessor, dynamic voltage scaling
24Hadas Shachnai, Tami Tamir Multiprocessor Scheduling with Machine Allotment and Parallelism Constraints. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Machine allotments, Parallelizable jobs, Multiprocessor scheduling, Makespan
24Amer Baghdadi, Nacer-Eddine Zergainoh, Wander O. Cesário, Ahmed Amine Jerraya Combining a Performance Estimation Methodology with a Hardware/Software Codesign Flow Supporting Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware/software codesign, Performance estimation, multiprocessor architectures, architecture exploration, system-level simulation
24Martijn J. Rutten, Jos T. J. van Eijndhoven, Evert-Jan D. Pol, Egbert G. T. Jaspers, Pieter van der Wolf, Om Prakash Gangwal, Adwin H. Timmer Eclipse: Heterogeneous Multiprocessor Architecture for Flexible Media Processing. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high-performance media processing, heterogeneous multiprocessor architecture, Scalability, synchronization
24Donatella Sciuto, Fabio Salice, Luigi Pomante, William Fornaciari Metrics for design space exploration of heterogeneous multiprocessor embedded systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessor Embedded Systems, metrics for Hw/Sw partitioning, system-level design
24Satoshi Fujita, Masayuki Masukawa, Shigeaki Tagashira A Fast Branch-and-Bound Algorithm with an Improved Lower Bound for Solving the Multiprocessor Scheduling Problem. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF lower bound on the execution time, quadratic algorithm, Branch-and-bound algorithm, multiprocessor scheduling problem
Displaying result #201 - #300 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license