The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlist with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (26) 1991-1993 (19) 1994-1995 (21) 1996-1997 (35) 1998 (15) 1999 (25) 2000 (27) 2001 (17) 2002 (30) 2003 (46) 2004 (40) 2005 (30) 2006 (43) 2007 (34) 2008 (29) 2009 (22) 2010-2012 (17) 2013-2015 (16) 2016-2018 (19) 2019-2020 (22) 2021-2022 (27) 2023 (19) 2024 (4)
Publication types (Num. hits)
article(166) inproceedings(416) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 437 occurrences of 290 keywords

Results
Found 583 publication records. Showing 583 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Peter B. Reintjes AUNT: A Universal Netlist Translator. Search on Bibsonomy J. Log. Program. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Wolfgang Meier Hierarchical Netlist Extraction and Design Rule Check. Search on Bibsonomy Rechnergestützter Entwurf und Architektur mikroelektronischer Systeme The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Sandeep Aranake, Anil Dikshit, A. Arun An edge based netlist extractor for IC layouts. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Larry G. Jones Fast incremental netlist compilation of hierarchical schematics. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21C. C. Chen, S.-L. Chow The Layout Synthesizer: An Automatic Netlist-to-Layout System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Larry G. Jones Fast Online/Offline Netlist Compilation of Hierarchical Schematics. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Peter B. Reintjes AUNT: A Universal Netlist Translator. Search on Bibsonomy SLP The full citation details ... 1987 DBLP  BibTeX  RDF
21J. Doug Tygar, Ron Ellickson Efficient netlist comparison using hierarchy and randomization. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
19Peter A. Jamieson, Kenneth B. Kent Odin II: an open-source verilog HDL synthesis tool for FPGA cad flows (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, verilog hdl
19Seungwhun Paik, Sangmin Kim, Youngsoo Shin Wakeup synthesis and its buffered tree construction for power gating circuit designs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wakeup synthesis, leakage, power gating
19Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
19Andrew C. Ling, Stephen Dean Brown, Jianwen Zhu, Sean Safarpour Towards automated ECOs in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pst, optimization, fpga, boolean satisfiability, resynthesis
19Corneliu Rusu, Lacrimioara Grama, Jarmo Takala SPICE Simulation of Analog Filters: A Method for Designing Digital Filters. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analog filter, SPICE, digital filter
19Yun Du, Yangshuo Ding, Yujie Chen, Zhiqiang Gao IP protection platform based on watermarking technique. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yuki Watanabe, Naofumi Homma, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001 High-Level Design of Multiple-Valued Arithmetic Circuits Based on Arithmetic Description Language. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple-valued logic circuits, arithmetic circuits, high-level design, circuit synthesis
19Jyotirmoy Ghosh, Siddhartha Mukhopadhyay, Amit Patra, Barry Culpepper, Tawen Mei A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Sabyasachi Das, Sunil P. Khatri An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Wenyi Feng, Jonathan W. Greene Post-Placement Interconnect Entropy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Stephen Plaza, Kai-Hui Chang, Igor L. Markov, Valeria Bertacco Node Mergers in the Presence of Don't Cares. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jin Guo 0001, Antonis Papanikolaou, Francky Catthoor Topology exploration for energy efficient intra-tile communication. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke VCEGAR: Verilog CounterExample Guided Abstraction Refinement. Search on Bibsonomy TACAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Chris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, Jef L. van Meerbergen Comparison of An Æthereal Network on Chip and A Traditional Interconnect for A Multi-Processor DVB-T System on Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wenyi Feng, Jonathan W. Greene Post-placement interconnect entropy. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Physical design implementation of segmented buses to reduce communication energy. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shweta Shah, Nazanin Mansouri, Adrián Núñez-Aldana Pre-Layout Estimation of Interconnect Lengths for Digital Integrated Circuits. Search on Bibsonomy CONIELECOMP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wenyi Feng, Jonathan W. Greene Post-placement interconnect entropy: how many configuration bits does a programmable logic device need? Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF switching requirement, FPGAs, lower bound, entropy, interconnect, placement, rent's rule, programmable interconnect
19Padmini Gopalakrishnan, Xin Li 0001, Lawrence T. Pileggi Architecture-aware FPGA placement using metric embedding. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, placement, metric embedding
19Viresh Paruthi, Christian Jacobi 0002, Kai Weber 0001 Efficient Symbolic Simulation via Dynamic Scheduling, Don't Caring, and Case Splitting. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Qinghua Liu, Malgorzata Marek-Sadowska A congestion-driven placement framework with local congestion prediction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cell padding, congestion prediction, placement migration
19Ivan Blunno, Luciano Lavagno Designing an asynchronous microcontroller using Pipefitter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Hai Zhou 0001, Chuan Lin 0002 Retiming for wire pipelining in system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Bo Hu 0006, Malgorzata Marek-Sadowska Fine granularity clustering-based placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sotiris Bantas, Yorgos Koutsoyannopoulos, Apostolos Liapis An Inductance Modeling Flow Seamlessly Integrated in the RF IC Design Chain. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Laurent Arditi, Gérard Berry, Michael Kishinevsky Late Design Changes (ECOs) for Sequentially Optimized Esterel Designs. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF phase abstraction, automatic abstraction, CTL model checking, level-sensitive latch, bisimulation, model reduction
19Yongseok Cheon, Seokjin Lee, Martin D. F. Wong Stable Multiway Circuit Partitioning for ECO. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Stable circuit partitioning, incremental partitioning, engineering change order, similarity cost, placement
19Lei Yang 0019, C.-J. Richard Shi FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Bounding the efforts on congestion optimization for physical synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, logic synthesis, physical design, technology mapping, routing congestion
19Michael D. Hutton, Jonathan Rose, Derek G. Corneil Automatic generation of synthetic sequential benchmark circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Min Ouyang, Michel Toulouse, Krishnaiyan Thulasiraman, Fred W. Glover, Jitender S. Deogun Multilevel cooperative search for the circuit/hypergraphpartitioning problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Ivan Blunno, Luciano Lavagno Designing an Asynchronous Microcontroller Using Pipefitter. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19J. A. López, Ginés Doménech, R. Ruiz, Tom J. Kazmierski Automated high level synthesis of hardware building blocks present in ART-based neural networks, from VHDL-AMS descriptions. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Haifeng Zhou, Zhenghui Lin, Wei Cao Research on VHDL RTL Synthesis System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VHDL RTL synthesis, ambiguous grammar, language level optimization, inference, formal semantics, parser
19Satnam Singh, Philip James-Roxby Rapid Construction of Partial Configuration Datastreams from High-Level Constructs Using JBits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Wolfgang Günther 0001, Rolf Drechsler Performance Driven Optimization for MUX based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Payman Zarkesh-Ha, Jeffrey A. Davis, James D. Meindl Prediction of net-length distribution for global interconnects in a heterogeneous system-on-a-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Joachim Pistorius, Edmée Legai, Michel Minoux PartGen: a generator of very large circuits to benchmark thepartitioning of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Seiji Kajihara, Takashi Shimono, Irith Pomeranz, Sudhakar M. Reddy Enhanced untestable path analysis using edge graphs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF untestable path analysis, edge graphs, partial path sensitization, edge graph, logic testing, logic circuits, logic circuits, path delay fault testing
19Abhijit Das On the Transistor Sizing Problem. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Timing Analysis, Timing Optimization, Transistor Sizing, Delay Constraint
19Per Arne Karlsen, Per Torstein Røine A Timing Verifier and Timing Profiler for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Shiuann-Shiuh Lin, Wen-Hsin Chen, Wen-Wei Lin, TingTing Hwang A Clustering Based Linear Ordering Algorithm for K-Way Spectral Partitioning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Avinash K. Gautam, V. Visvanathan, S. K. Nandy 0001 Automatic Generation of Tree Multipliers Using Placement-Driven Netlists. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Michael D. Hutton, Jonathan Rose Applications of clone circuits to issues in physical-design. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Maogang Wang, Prithviraj Banerjee, Majid Sarrafzadeh Potential-NRG: Placement with Incomplete Data. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
19Valeria Bertacco, Maurizio Damiani The disjunctive decomposition of logic functions. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF disjunctive decomposition, combinational logic optimization
19Jason Cong, Honching Peter Li, Sung Kyu Lim, Toshiyuki Shibuya, Dongmin Xu Large scale circuit partitioning with loose/stable net removal and signal flow based clustering. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Bogdan G. Arsintescu A Method for Analog Circuits Visualization. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Analog circuits visualization, Design verification tools, Computer aided design for analog circuits
19Timothy Kam, P. A. Subrahmanyam Comparing layouts with HDL models: a formal verification technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Chris J. Myers, Peter A. Beerel, Teresa H.-Y. Meng Technology mapping of timed circuits. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate library, C-elements, ATACS, timing, logic design, logic CAD, asynchronous circuits, asynchronous circuits, timing information, AND gates, synthesis tool, OR gates, timed circuits
19Manish Pandey, Alok Jain, Randal E. Bryant, Derek L. Beatty, Gary York, Samir Jain Extraction of finite state machines from transistor netlists by symbolic simulation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF finite state machine extraction, transistor netlists, clock level finite state machines, gate level representation, circuit clocking, output timing, simulation patterns, next state, output function, equivalent FSM, static storage structures, time multiplexed inputs, time multiplexed outputs, finite state machines, logic design, logic CAD, circuit analysis computing, FSMs, symbolic simulation, symbolic simulator, Ordered Binary Decision Diagrams
19Lars W. Hagen, Andrew B. Kahng, Fadi J. Kurdahi, Champaka Ramachandran On the intrinsic Rent parameter and spectra-based partitioning methodologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Georg Pelz, Uli Roettcher Pattern matching and refinement hybrid approach to circuit comparison. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Nishit P. Parikh, Chi-Yuan Lo, Anoop Singhal, Kwok W. Wu HS: a hierarchical search package for CAD data. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Stephen Walters Computer-Aided Prototyping for ASIC-Based Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Magdy S. Abadir, Jack Ferguson An improved layout verification algorithm (LAVA). Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Robi Dutta, Maurice Marks, Craig Morrissey, Ravi Rao, Lee Sapiro A flexible hierarchical 3-D module assembler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Daniel Fischer, Yossi Levhari, Gadi Singer NETHDL: abstraction of schematics to high-level HDL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Cyrus Bamji, Jonathan Allen GRASP: A Grammar-based Schematic Parser. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Michael Eick, Martin Strasser, Helmut E. Graeb, Ulf Schlichtmann Automatic generation of hierarchical placement rules for analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hierarchical placement rules, constraints, placement, analog integrated circuits
10Charles J. Alpert, Zhuo Li 0001, Michael D. Moffitt, Gi-Joon Nam, Jarrod A. Roy, Gustavo E. Téllez What makes a design difficult to route. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion driven physical synthesis, routing
10Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
10Paul Zuber, Petr Dobrovolný, Miguel Miranda A holistic approach for statistical SRAM analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF statistical SRAM analysis, process variability, yield prediction
10Jia-Wei Fang, Chin-Hsiung Hsu, Yao-Wen Chang An Integer-Linear-Programming-Based Routing Algorithm for Flip-Chip Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Yongfeng Feng, H. Alan Mantooth Algorithms for Automatic Model Topology Formulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Pedro Marques Morgado, Paulo F. Flores, L. Miguel Silveira Generating realistic stimuli for accurate power grid analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stimuli generation, simulation, verification, Power grid, ground bounce, voltage drop
10Martin Rozkovec, Ondrej Novák Structural test of programmed FPGA circuits. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Kunihiro Asada, Taku Sogabe, Toru Nakura, Makoto Ikeda Measurement of power supply noise tolerance of self-timed processor. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Stephen Jang, Dennis Wu, Mark Jarvin, Billy Chan, Kevin Chung, Alan Mishchenko, Robert K. Brayton SmartOpt: an industrial strength framework for logic synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF abc, blif, edge flow, smartopt, fpga, interface, technology mapping
10Chien Pang Lu, Mango Chia-Tso Chao, Chen Hsing Lo, Chih-Wei Chang A metal-only-ECO solver for input-slew and output-loading violations. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF input skew violation, output loading, buffer insertion, eco
10Prashant Saxena, Vishal Khandelwal, Changge Qiao, Pei-Hsin Ho, J.-C. Lin, Mahesh A. Iyer On improving optimization effectiveness in interconnect-driven physical synthesis. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnect, physical synthesis, circuit optimization, vlsi
10Loïc Lagadec, Damien Picard Software-like debugging methodology for reconfigurable platforms. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Krzysztof Kepa, Fearghal Morgan, Krzysztof Kosciuszkiewicz, Lars Braun, Michael Hübner 0001, Jürgen Becker 0001 FPGA Analysis Tool: High-Level Flows for Low-Level Design Analysis in Reconfigurable Computing. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design assurance, bitstream debugging, security, FPGA, Reconfigurable Computing, design verification, EDA tools
10David Bañeres, Jordi Cortadella, Michael Kishinevsky Timing-driven N-way decomposition. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic design, decomposition, timing optimization
10Raghuram Srinivasan, Harold W. Carter A taylor series methodology for analyzing the effects of process variation on circuit operation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF circuit simulation
10Pritha Banerjee 0001, Megha Sangtani, Susmita Sur-Kolay Floorplanning for Partial Reconfiguration in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Jackey Z. Yan, Natarajan Viswanathan, Chris Chu Handling complexities in modern large-scale mixed-size placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF incremental placement, mixed-size design, floorplanning
10Duo Ding, Yilin Zhang, Haiyu Huang, Ray T. Chen, David Z. Pan O-Router: an optical routing framework for low power on-chip silicon nano-photonic integration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power nanophotonic integration, optical routing, integer linear programming
10Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco Event-driven gate-level simulation with GP-GPUs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate-level simulation, general purpose graphics processing unit (GP-GPU), high-performance simulation
10Rajarshi Mukherjee, Song Liu, Seda Ogrenci Memik, Somsubhra Mondal A high-level clustering algorithm targeting dual Vdd FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, field programmable gate arrays, partitioning, placement, voltage scaling, Dynamic power
10Daniel Ziener, Jürgen Teich Power Signature Watermarking of IP Cores for FPGAs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IPP, FPGA, watermarking, signature, power analysis, IP cores
10Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll Design flow for embedded FPGAs based on a flexible architecture template. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Udo Krautz, Markus Wedler, Wolfgang Kunz, Kai Weber 0001, Christian Jacobi 0002, Matthias Pflanz Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jie Hao, Silong Peng HJ-hPl: Hierarchical Mixed-Size Placement Algorithm with Priori Wirelength Estimation. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Pradeep Fernando, Hariharan Sankaran, Srinivas Katkoori, Didier Keymeulen, Adrian Stoica, Ricardo Salem Zebulum, Rajeshuni Ramesham A customizable FPGA IP core implementation of a general purpose Genetic Algorithm engine. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Qiang Ma 0002, Evangeline F. Y. Young Network flow-based power optimization under timing constraints in MSV-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Amit Agarwal, Jason Cong, Brian Tagiku Fault tolerant placement and defect reconfiguration for nano-FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Fabrício Vivas Andrade, Leandro Maia Silva, Antônio Otávio Fernandes Improving SAT-based Combinational Equivalence Checking through circuit preprocessing. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sz-Cheng Huang, Jie-Hong Roland Jiang A dynamic accuracy-refinement approach to timing-driven technology mapping. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Andrea Pellegrini, Kypros Constantinides, Dan Zhang 0004, Shobana Sudhakar, Valeria Bertacco, Todd M. Austin CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Likun Xia, Ian M. Bell, Antony J. Wilkinson A novel approach for automated model generation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 583 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license