|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 11369 occurrences of 4563 keywords
|
|
|
Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
26 | Jian Pei, Jiawei Han 0001, Behzad Mortazavi-Asl, Helen Pinto, Qiming Chen, Umeshwar Dayal, Meichun Hsu |
PrefixSpan: Mining Sequential Patterns by Prefix-Projected Growth. |
ICDE |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Jenngang Shih |
Sequential Instance-Based Learning for Planning in the Context of an Imperfect Information Game. |
ICCBR |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Jian-Kun Zhao, Jeffrey A. Newquist, Janak H. Patel |
A Graph Traversal Based Framework For Sequential Logic Implication With An Application To C-Cycle Redundancy Identification. |
VLSI Design |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Honghui Lu, Alan L. Cox, Willy Zwaenepoel |
Contention elimination by replication of sequential sections in distributed shared memory programs. |
PPoPP |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Shung-Chih Chen, Jer-Min Jou |
Diagnostic fault simulation for synchronous sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
26 | Ramakrishnan Srikant, Rakesh Agrawal 0001 |
Mining Sequential Patterns: Generalizations and Performance Improvements. |
EDBT |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Mark Eastwood, Bogdan Gabrys |
A Non-sequential Representation of Sequential Data for Churn Prediction. |
KES (1) |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Bamshad Mobasher, Honghua Dai 0002, Tao Luo 0008, Miki Nakagawa |
Using Sequential and Non-Sequential Patterns in Predictive Web Usage Mining Tasks. |
ICDM |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Karine Zeitouni |
From Sequence Mining to Multidimensional Sequence Mining. |
Mining Complex Data |
2009 |
DBLP DOI BibTeX RDF |
Sequential data mining, optimization, algorithms, data structures |
25 | Marios Mavronicolas, Michael Merritt, Gadi Taubenfeld |
Sequentially consistent versus linearizable counting networks. |
Distributed Comput. |
2008 |
DBLP DOI BibTeX RDF |
Balancing networks, Inconsistency fractions, Sequential consistency, Linearizability, Counting networks |
25 | Oliver Trachsel, Thomas R. Gross |
Variant-based competitive parallel execution of sequential programs. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
algorithmic choice, multi-variant execution, speculative execution, multi-core processors, sequential programs, adaptive execution |
25 | Qinhua Huang, Weimin Ouyang |
Mining Sequential Patterns in Data Stream. |
ISNN (2) |
2009 |
DBLP DOI BibTeX RDF |
Data mining, Data stream, Sequential patterns |
25 | Yan Liu 0003, Jing Zhang 0003, Xin Meng, John Strassner |
Sequential Proximity-Based Clustering for Telecommunication Network Alarm Correlation. |
ISNN (2) |
2008 |
DBLP DOI BibTeX RDF |
Sequential proximity, Clustering, Metrics, Alarm correlation |
25 | Wenrui Dai, Hongkai Xiong, Li Song 0001 |
On Non-sequential Context Modeling with Application to Executable Data Compression. |
DCC |
2008 |
DBLP DOI BibTeX RDF |
Sequential context modeling, Prediction by Partial Match, data compression, MDL, maximum a posteriori |
25 | Alexia Briassouli, Ioannis Kompatsiaris |
Human activity localization via sequential change detection. |
Multimedia Information Retrieval |
2008 |
DBLP DOI BibTeX RDF |
activity localization, sequential detection, video surveillance |
25 | Cameron J. Turner, Richard H. Crawford, Matthew I. Campbell |
Multidimensional sequential sampling for NURBs-based metamodel development. |
Eng. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Non uniform rational B-splines, Sampling criteria, Multicriteria sampling, Metamodels, Sequential sampling, Cooling schedule |
25 | Haifeng Li 0006, Hong Chen 0001 |
GraSeq : A Novel Approximate Mining Approach of Sequential Patterns over Data Stream. |
ADMA |
2007 |
DBLP DOI BibTeX RDF |
directed weighted graph, data stream, sequential pattern |
25 | Alice Marascu, Florent Masseglia |
Mining sequential patterns from data streams: a centroid approach. |
J. Intell. Inf. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Clustering, Data streams, Web usage mining, Sequential patterns, Sequences alignment |
25 | Malcolm Tyrrell, Joseph M. Morris, Andrew Butterfield, Arthur Hughes |
A Lattice-Theoretic Model for an Algebra of Communicating Sequential Processes. |
ICTAC |
2006 |
DBLP DOI BibTeX RDF |
denotational models, communicating sequential processes, nondeterminacy |
25 | Unil Yun |
A Pruning Technique to Discover Correlated Sequential Patterns in Retail Databases. |
Australian Conference on Artificial Intelligence |
2006 |
DBLP DOI BibTeX RDF |
weighted support affinity, data mining, sequential pattern mining |
25 | Chung-Ching Yu, Yen-Liang Chen |
Mining Sequential Patterns from Multidimensional Sequence Data. |
IEEE Trans. Knowl. Data Eng. |
2005 |
DBLP DOI BibTeX RDF |
sequence data, data mining, sequential patterns, Frequent pattern |
25 | Roger Curry, Cameron Kiddle, Rob Simmonds, Brian W. Unger |
Sequential Performance of Asynchronous Conservative PDES Algorithms. |
PADS |
2005 |
DBLP DOI BibTeX RDF |
Sequential Discrete Event Simulation, Parallel Discrete Event Simulation, Conservative Synchronization |
25 | Chung-Wen Cho, Yi-Hung Wu, Arbee L. P. Chen |
Effective Database Transformation and Efficient Support Computation for Mining Sequential Patterns. |
DASFAA |
2005 |
DBLP DOI BibTeX RDF |
Database transformation, Frequent k-sequences, Data mining, Sequential patterns |
25 | Sean Safarpour, Görschwin Fey, Andreas G. Veneris, Rolf Drechsler |
Utilizing don't care states in SAT-based bounded sequential problems. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
don't care states, unreachable states, satisfiability, bounded model checking, sequential equivalence checking |
25 | Chris Bailey-Kellogg, Sheetal Chainraj, Gopal Pandurangan |
A random graph approach to NMR sequential assignment. |
RECOMB |
2004 |
DBLP DOI BibTeX RDF |
automated sequential resonance assignment, chemical shift degeneracy, nuclear magnetic resonance (NMR) spectroscopy, protein structure determination, randomized algorithm, probabilistic analysis, hamiltonian path, random graph model |
25 | Florent Masseglia, Doru Tanasa, Brigitte Trousse |
Web Usage Mining: Sequential Pattern Extraction with a Very Low Support. |
APWeb |
2004 |
DBLP DOI BibTeX RDF |
patterns summary, clustering, neural networks, Web usage mining, sequential patterns |
25 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Delay budgeting in sequential circuit with application on FPGA placement. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
delay budgeting, FPGA, placement, sequential circuits |
25 | Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj |
Estimation of state line statistics in sequential circuits. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
signal statistics, transition density, finite-state machine, sequential circuit, Power estimation, switching activity, signal probability |
25 | Lei Pan 0001, Lubomir Bic, Michael B. Dillencourt, Ming Kin Lai |
Mobile Agents - The Right Vehicle for Distributed Sequential Computing. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
distributed sequential computing (DSC), computation mobility, distributed code building block (DBlock), algorithmic integrity, Crout factorization, scalability, mobile agents, paging |
25 | Yinshui Xia, Xunwei Wu, Penjung Wang |
Design of Ternary Schmitt Triggers Based on Its Sequential Characteristics. |
ISMVL |
2002 |
DBLP DOI BibTeX RDF |
Schmitt trigger, ternary circuit, sequential characteristics, TTL |
25 | Ricard Gavaldà, Osamu Watanabe 0001 |
Sequential Sampling Algorithms: Unified Analysis and Lower Bounds. |
SAGA |
2001 |
DBLP DOI BibTeX RDF |
Data mining, Random sampling, adaptive sampling, sequential sampling, Chernoff bounds |
25 | Lei Pan 0001, Lubomir Bic, Michael B. Dillencourt |
Distributed Sequential Numerical Computing Using Mobile Agents: Moving Code to Data. |
ICPP |
2001 |
DBLP DOI BibTeX RDF |
autonomous mobile agents, distributed sequential computing, numerical solution of linear system of equations, Gauss-Seidel iteration, Crout factorization, distributed computing, Network of Workstations, matrix operations, MESSENGERS |
25 | Anne Condon, Alan J. Hu |
Automatable verification of sequential consistency. |
SPAA |
2001 |
DBLP DOI BibTeX RDF |
model checking, memory model, sequential consistency |
25 | Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray |
Deterministic Built-in Pattern Generation for Sequential Circuits. |
J. Electron. Test. |
1999 |
DBLP DOI BibTeX RDF |
Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing |
25 | Peichen Pan, C. L. Liu 0001 |
Optimal clock period FPGA technology mapping for sequential circuits. |
ACM Trans. Design Autom. Electr. Syst. |
1998 |
DBLP DOI BibTeX RDF |
FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis |
25 | Rosa Rodríguez-Montañés, Joan Figueras |
Bridges in sequential CMOS circuits: current-voltage signatur. |
VTS |
1997 |
DBLP DOI BibTeX RDF |
sequential CMOS circuits, current-voltage signature, I/sub DDQ/-V/sub DD/ signature, control loop nodes, fault diagnosis, fault diagnosis, temperature dependence, bridging defects |
25 | Myoung Kwon Tcheun, Hyunsoo Yoon, Seung Ryoul Maeng |
An adaptive sequential prefetching scheme in shared-memory multiprocessors. |
ICPP |
1997 |
DBLP DOI BibTeX RDF |
adaptive sequential prefetching scheme, hardware controlled scheme, high sequentiality, shared-memory multiprocessors, shared memory systems, application programs, sequentiality, memory accesses |
24 | Michael A. Bender, Haodong Hu |
An adaptive packed-memory array. |
ACM Trans. Database Syst. |
2007 |
DBLP DOI BibTeX RDF |
Adaptive packed-memory array, locality preserving, packed-memory array, rebalance, sequential file maintenance, sequential scan, sparse array, range query, cache oblivious |
24 | Michael A. Bender, Haodong Hu |
An adaptive packed-memory array. |
PODS |
2006 |
DBLP DOI BibTeX RDF |
adaptive packed-memory array, locality preserving, packed-memory array, rebalance, sequential file maintenance, sequential scan, sparse array, range query, cache oblivious |
24 | Roman Fric |
Convergence and Duality. |
Appl. Categorical Struct. |
2002 |
DBLP DOI BibTeX RDF |
concrete category, dual equivalence, cogenerator, sober object, bold algebra, ukasiewicz tribe, D-poset, sequential convergence, sequential continuity, measurable map, probability event, reflection, observable, MV-algebra, measurable space |
24 | Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal |
Compaction-based test generation using state and fault information. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation |
24 | Toshiyuki Maeda, Kozo Kinoshita |
Memory reduction of IDDQ test compaction for internal and external bridging faults. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
I/sub DDQ/ test compaction, internal bridging faults, external bridging faults, IDDQ test sequence, reassignment method, weighted random sequences, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic testing, fault simulation, CMOS logic circuits, CMOS circuits, test application time reduction, memory reduction |
24 | Sumit Roy 0003, Prithviraj Banerjee |
A Comparison of Parallel Approaches for Algebraic Factorization in Logic Synthesis. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
algebraic factorization, circuit replication, totally independent factorization, L-shaped partitioning strategy, rectangle interaction, ex1010 circuit, sequential kernel extraction algorithms, SIS sequential circuit synthesis system, quality degradation, parallel algorithms, logic synthesis, logic CAD, circuit partitions, divide-and-conquer strategy |
24 | Afonso Ferreira, Claire Kenyon, Andrew Rau-Chaplin, Stéphane Ubéda |
d-Dimensional Range Search on Multicomputers. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
d-dimensional range search, range tree, multidimensional point sets, geometric applications, parallel distributed memory setting, d-dimensional Cartesian space, distributed range tree, sequential data structure, associative-function, report modes, parallel construction algorithms, parallel search algorithms, sequential time, data structure, search problems, multicomputers, range queries, processors, computation time, running times, database applications, coarse grained multicomputer, h-relations |
24 | Edward A. Lee, Alberto L. Sangiovanni-Vincentelli |
Comparing models of computation. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
concurrent sequential processes, denotational framework, synchronous events, totally ordered set, value-tag pair, Petri nets, discrete-event systems, models of computation, partially ordered set, concurrent processes, Kahn process networks, sequential processes |
24 | Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel |
Automatic test generation using genetically-engineered distinguishing sequences. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
two-phase algorithm, fault effects, DIGATE, genetic algorithms, genetic algorithm, fault diagnosis, logic testing, sequential circuits, sequential circuit, automatic testing, sequences, flip-flops, automatic test generation, distinguishing sequence |
24 | Ajay J. Daga, William P. Birmingham |
A symbolic-simulation approach to the timing verification of interacting FSMs. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
symbolic-simulation approach, interacting FSMs, timing verifier, complex sequential circuit verification, combinational paths, inherently modular nature, symbolic simulation verification methodology, formal verification, logic testing, finite state machines, finite state machines, sequential circuits, circuit analysis computing, timing verification |
24 | Guido Wirtz |
Modularization, re-use and testing for parallel message-passing programs. |
HICSS (2) |
1995 |
DBLP DOI BibTeX RDF |
parallel message-passing programs, program reuse, parallel program design, imperative message-passing programming language, distributed memory paradigm, graphical constructs, sequential parts, slightly restricted ANSI-C, formal specification, parallel programming, message passing, modularization, requirements, program testing, program testing, software reusability, distributed memory systems, parallel languages, sequential programming |
24 | Frank F. Hsu, Janak H. Patel |
A distance reduction approach to design for testability. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
distance reduction approach, center state, test function embedding technique, SFT techniques, logic testing, finite state machines, finite state machines, design for testability, design for testability, sequential circuits, sequential circuits, flip-flops, flip-flops, synthesis for testability, test function, average distance, DFT techniques |
24 | Jun Gu, Wei Wang |
A Novel Discrete Relaxation Architecture. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1992 |
DBLP DOI BibTeX RDF |
discrete relaxation algorithm, sequential AC-1 algorithm, sequential AC-4 algorithm, parallel DRA5 algorithm, parallel algorithms, computational complexity, parallel architectures, time complexity, polynomial, polynomials |
24 | Marie-Lise Flottes, Christian Landrault, A. Petitqueux |
Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset |
24 | Mohamed Soufi, Yvon Savaria, F. Darlay, Bozena Kaminska |
Producing Reliable Initialization and Test of Sequential Circuits with Pseudorandom Vectors. |
IEEE Trans. Computers |
1995 |
DBLP DOI BibTeX RDF |
full reset, initialization of sequential circuits, modelization of sequential circuits, Markov chain processes, Built-in self-testing, pseudorandom testing, testability measures, partial reset |
24 | Irith Pomeranz, Sudhakar M. Reddy |
On the Role of Hardware Reset in Synchronous Sequential Circuit Test Generation. |
IEEE Trans. Computers |
1994 |
DBLP DOI BibTeX RDF |
hardware reset, synchronous sequential circuit test generation, state variables, test generation procedure, logic testing, sequential circuits, test sequence |
24 | Irith Pomeranz, Sudhakar M. Reddy |
Classification of Faults in Synchronous Sequential Circuits. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
undetectable faults, initial conditions, partially detectable faults, synchronization mode, free mode, logic testing, sequential circuits, synchronisation, fault location, synchronous sequential circuits, combinatorial circuits, test sequence, faults classification, redundant faults |
24 | Todd P. Kelsey, Kewal K. Saluja, Soo Young Lee |
An Efficient Algorithm for Sequential Circuit Test Generation. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
automatic test generation algorithm, nine-valued logic model, Initial Timeframe Algorithm, Previous State Information Problem, faulty machine states, logic testing, sequential circuits, automatic testing, sequential circuit test generation, PODEM |
24 | Baruch Awerbuch, Bonnie Berger, Lenore Cowen, David Peleg |
Near-Linear Cost Sequential and Distribured Constructions of Sparse Neighborhood Covers |
FOCS |
1993 |
DBLP DOI BibTeX RDF |
near-linear cost sequential constructions, distributed constructions, sparse neighborhood covers, dynamic approximate shortest paths, distance-preserving graph spanners, network synchronizer constructions, adaptive routing, sequential algorithms, breadth-first-search, network decompositions |
24 | Sudhir Dhawan, Ronald C. de Vries |
Design of Self-Checking Sequential Machines. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
error transmission, self-checking sequential machines, design, error detection, flip-flops, flip-flops, sequential machines, excitation, memory elements |
24 | Dhiraj K. Pradhan |
Sequential Network Design Using Extra Inputs for Fault Detection. |
IEEE Trans. Computers |
1983 |
DBLP DOI BibTeX RDF |
In order to enhance fault-detection capability, extra inputs are used when designing sequential machines, Here, a technique is proposed that designs these machines, precisely with the use of extra inputs?so as especially to minimize the length of the checking sequence, The resulting checking sequences are shown to be upper bounded by (3 + 2n)m+rn(3m + 1), where n is the number of states, r is the number of inputs, m = logs, n and s is the number of extra inputs, respectively., diameter of graphs, fault detection, directed graphs, sequential machine, distinguishing sequence, Checking sequence |
24 | Asok Bhattacharyya |
On a Novel Approach of Fault Detection in an Easily Testable Sequential Machine with Extra Inputs and Extra Outputs. |
IEEE Trans. Computers |
1983 |
DBLP DOI BibTeX RDF |
easily testable sequential machine, fault diagnosis, fault detection, sequential machines, Checking sequences |
24 | Takeomi Tamesada |
Sequential Machines Having Quasi-Stable States. |
IEEE Trans. Computers |
1980 |
DBLP DOI BibTeX RDF |
unstable state, Asynchronous sequential machine, autonomous sequential machine, flow table, multivibrator, quasi-stable state, stable state, statetransition diagram |
24 | Edward W. Page, Peter N. Marinos |
Programmable Array Realizations of Synchronous Sequential Machines. |
IEEE Trans. Computers |
1977 |
DBLP DOI BibTeX RDF |
Programmable cellular array, secondary-state assignment, sequential machine structure, sequential machine synthesis |
24 | Leonard R. Marino |
The Effect of Asynchronous Inputs on Sequential Network Reliability. |
IEEE Trans. Computers |
1977 |
DBLP DOI BibTeX RDF |
Asynchronous arbiter, asynchronous sequential networks, flip-flop oscillations, inertial delay, input synchronization, metastable state, Schmitt trigger, synchronous sequential networks, reliability, asynchronous interactions |
24 | John F. Wakerly |
Comments on "Asynchronous Sequential Machines Designed for Fault Detection". |
IEEE Trans. Computers |
1975 |
DBLP DOI BibTeX RDF |
Asynchronous sequential machines, self-testing circuits, fault detection, sequential machines, self-checking circuits |
23 | Chia-Chu Chiang |
An Implementation of a Framework for Open Distributed Computing. |
ICA3PP |
2009 |
DBLP DOI BibTeX RDF |
Distributed Parallel Programming, Concurrency, Heterogeneity, Programming Paradigms |
23 | Kenjiro Taura, Kunio Tabata, Akinori Yonezawa |
StackThreads/MP: Integrating Futures into Calling Standards. |
PPoPP |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Gail E. Kaiser |
Concurrent meld. |
OOPSLA/ECOOP Workshop on Object-based Concurrent Programming |
1988 |
DBLP DOI BibTeX RDF |
MELD |
23 | Jeanne Ferrante, Mary E. Mace |
On Linearizing Parallel Code. |
POPL |
1985 |
DBLP DOI BibTeX RDF |
|
23 | Tao Gu, Zhanqing Wu, XianPing Tao, Hung Keng Pung, Jian Lu 0001 |
epSICAR: An Emerging Patterns based Approach to Sequential, Interleaved and Concurrent Activity Recognition. |
PerCom |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Grey Ballard, James Demmel, Olga Holtz, Oded Schwartz |
Communication-optimal parallel and sequential Cholesky decomposition: extended abstract. |
SPAA |
2009 |
DBLP DOI BibTeX RDF |
Cholesky decomposition, communication avoiding, algorithm, lower bound, latency, bandwidth |
23 | Emanuele Grossi, Marco Lops |
Sequential Along-Track Integration for Early Detection of Moving Targets. |
IEEE Trans. Signal Process. |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sue-Chen Hsueh, Ming-Yen Lin, Chien-Liang Chen |
Mining Negative Sequential Patterns for E-commerce Recommendations. |
APSCC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jia-Dong Ren, Jing Yang, Yan Li |
Mining Weighted Closed Sequential Patterns in Large Databases. |
FSKD (5) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | In-Ho Moon, Per Bjesse, Carl Pixley |
A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Jia Wang 0004, Xiaolin Wu 0001, Jun Sun 0005, Songyu Yu |
On Multi-Stage Sequential Coding of Correlated Sources. |
DCC |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Tasuku Ito, Michitaka Kameyama |
Universal VLSI Based on a Redundant Multiple-Valued Sequential Logic Operation. |
ISMVL |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Wencheng Wang, Feng Wei, Enhua Wu |
View Dependent Sequential Point Trees. |
J. Comput. Sci. Technol. |
2006 |
DBLP DOI BibTeX RDF |
GPU, real time rendering, point-based rendering, visibility culling, view dependence |
23 | Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu |
Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. |
MEMOCODE |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Zhenglu Yang, Masaru Kitsuregawa, Yitong Wang |
PAID: Mining Sequential Patterns by Passed Item Deduction in Large Databases. |
IDEAS |
2006 |
DBLP DOI BibTeX RDF |
|
23 | René Arnulfo García-Hernández, José Francisco Martínez Trinidad, Jesús Ariel Carrasco-Ochoa |
A New Algorithm for Fast Discovery of Maximal Sequential Patterns in a Document Collection. |
CICLing |
2006 |
DBLP DOI BibTeX RDF |
|
23 | S. Shaheen Fatima |
Sequential versus simultaneous auctions: a case study. |
ICEC |
2006 |
DBLP DOI BibTeX RDF |
multi-object auctions, game-theory |
23 | Lige Yu, Anthony Ephremides |
Detection Performance and Energy Efficiency of Sequential Detection in a Sensor Network. |
HICSS |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Yu Hirate, Hayato Yamana |
Sequential Pattern Mining with Time Intervals. |
PAKDD |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja |
Combinational automatic test pattern generation for acyclic sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Tianrui Li 0001, Yang Xu 0001, Da Ruan 0001, Wuming Pan |
Sequential Pattern Mining. |
Intelligent Data Mining |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Feng Lu 0002, Madhu K. Iyer, Ganapathy Parthasarathy, Li-C. Wang, Kwang-Ting Cheng, Kuang-Chien Chen |
An Efficient Sequential SAT Solver With Improved Search Strategies. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yun Xiong, Yangyong Zhu |
A Multi-Supports-Based Sequential Pattern Mining Algorithm. |
CIT |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Vicent Cholvi, Antonio Fernández 0001, Ernesto Jiménez, Michel Raynal |
A Methodological Construction of an Efficient Sequential Consistency Protocol. |
NCA |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Debesh Kumar Das, Tomoo Inoue, Susanta Chakraborty, Hideo Fujiwara |
Max-Testable Class of Sequential Circuits having Combinational Test Generation Complexity. |
Asian Test Symposium |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Jim Morey, Kamran Sedig |
Using Indexed-Sequential Geometric Glyphs to Explore Visual Patterns. |
International Conference on Computational Science |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Petre Tzvetkov, Xifeng Yan, Jiawei Han 0001 |
TSP: Mining Top-K Closed Sequential Patterns. |
ICDM |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Jen-Tzung Chien |
Quasi-Bayes linear regression for sequential learning of hidden Markov models. |
IEEE Trans. Speech Audio Process. |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Pak Chung Wong, Wendy Cowley, Harlan Foote, Elizabeth Jurrus, James J. Thomas |
Visualizing Sequential Patterns for Text Mining. |
INFOVIS |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Carmen L. T. Borges, Djalma M. Falcão |
Power System Reliability by Sequential Monte Carlo Simulation on Multicomputer Platforms. |
VECPAR |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Klaus Reinhardt |
Strict Sequential P-completeness. |
STACS |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Thomas E. Marchok, Aiman H. El-Maleh, Wojciech Maly, Janusz Rajski |
A complexity analysis of sequential ATPG. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
23 | Chi-Ying Tsui, José Monteiro 0001, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin 0001 |
Power estimation methods for sequential logic circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
23 | Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen |
Single-fault fault-collapsing analysis in sequential logic circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1991 |
DBLP DOI BibTeX RDF |
|
23 | Rose F. Gamble |
Transforming Rule-Based Programs: From the Sequential to the Parallel. |
IEA/AIE (Vol. 2) |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Srinivas Devadas |
Approaches to Multi-level Sequential Logic Synthesis. |
DAC |
1989 |
DBLP DOI BibTeX RDF |
|
23 | Hi-Keung Tony Ma, Srinivas Devadas, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli |
Test generation for sequential circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1988 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 26106 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|