The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sequential with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1959 (19) 1960-1961 (16) 1962 (17) 1963 (20) 1964 (24) 1965 (38) 1966 (42) 1967 (43) 1968 (43) 1969 (40) 1970 (41) 1971 (62) 1972 (42) 1973 (45) 1974 (58) 1975 (50) 1976 (44) 1977 (52) 1978 (49) 1979 (43) 1980 (58) 1981 (47) 1982 (54) 1983 (62) 1984 (65) 1985 (56) 1986 (67) 1987 (84) 1988 (153) 1989 (170) 1990 (195) 1991 (188) 1992 (235) 1993 (256) 1994 (316) 1995 (370) 1996 (337) 1997 (396) 1998 (356) 1999 (450) 2000 (528) 2001 (543) 2002 (596) 2003 (672) 2004 (859) 2005 (998) 2006 (1075) 2007 (1184) 2008 (1182) 2009 (995) 2010 (619) 2011 (521) 2012 (607) 2013 (615) 2014 (632) 2015 (700) 2016 (734) 2017 (842) 2018 (910) 2019 (1070) 2020 (1175) 2021 (1222) 2022 (1282) 2023 (1460) 2024 (382)
Publication types (Num. hits)
article(11316) book(35) data(1) incollection(167) inproceedings(14290) phdthesis(296) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(2304) IEEE Trans. Computers(364) IEEE Trans. Comput. Aided Des....(256) IEEE Trans. Inf. Theory(232) ICASSP(207) IEEE Access(202) IEEE Trans. Signal Process.(166) DAC(160) ICCAD(152) WSC(134) VLSI Design(133) AAAI(132) IPDPS(125) CIKM(123) Neurocomputing(114) ICML(102) More (+10 of total 3888)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11369 occurrences of 4563 keywords

Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Jian Pei, Jiawei Han 0001, Behzad Mortazavi-Asl, Helen Pinto, Qiming Chen, Umeshwar Dayal, Meichun Hsu PrefixSpan: Mining Sequential Patterns by Prefix-Projected Growth. Search on Bibsonomy ICDE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Jenngang Shih Sequential Instance-Based Learning for Planning in the Context of an Imperfect Information Game. Search on Bibsonomy ICCBR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Jian-Kun Zhao, Jeffrey A. Newquist, Janak H. Patel A Graph Traversal Based Framework For Sequential Logic Implication With An Application To C-Cycle Redundancy Identification. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Honghui Lu, Alan L. Cox, Willy Zwaenepoel Contention elimination by replication of sequential sections in distributed shared memory programs. Search on Bibsonomy PPoPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Shung-Chih Chen, Jer-Min Jou Diagnostic fault simulation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Ramakrishnan Srikant, Rakesh Agrawal 0001 Mining Sequential Patterns: Generalizations and Performance Improvements. Search on Bibsonomy EDBT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Mark Eastwood, Bogdan Gabrys A Non-sequential Representation of Sequential Data for Churn Prediction. Search on Bibsonomy KES (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Bamshad Mobasher, Honghua Dai 0002, Tao Luo 0008, Miki Nakagawa Using Sequential and Non-Sequential Patterns in Predictive Web Usage Mining Tasks. Search on Bibsonomy ICDM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Karine Zeitouni From Sequence Mining to Multidimensional Sequence Mining. Search on Bibsonomy Mining Complex Data The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sequential data mining, optimization, algorithms, data structures
25Marios Mavronicolas, Michael Merritt, Gadi Taubenfeld Sequentially consistent versus linearizable counting networks. Search on Bibsonomy Distributed Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Balancing networks, Inconsistency fractions, Sequential consistency, Linearizability, Counting networks
25Oliver Trachsel, Thomas R. Gross Variant-based competitive parallel execution of sequential programs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF algorithmic choice, multi-variant execution, speculative execution, multi-core processors, sequential programs, adaptive execution
25Qinhua Huang, Weimin Ouyang Mining Sequential Patterns in Data Stream. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Data mining, Data stream, Sequential patterns
25Yan Liu 0003, Jing Zhang 0003, Xin Meng, John Strassner Sequential Proximity-Based Clustering for Telecommunication Network Alarm Correlation. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sequential proximity, Clustering, Metrics, Alarm correlation
25Wenrui Dai, Hongkai Xiong, Li Song 0001 On Non-sequential Context Modeling with Application to Executable Data Compression. Search on Bibsonomy DCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sequential context modeling, Prediction by Partial Match, data compression, MDL, maximum a posteriori
25Alexia Briassouli, Ioannis Kompatsiaris Human activity localization via sequential change detection. Search on Bibsonomy Multimedia Information Retrieval The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity localization, sequential detection, video surveillance
25Cameron J. Turner, Richard H. Crawford, Matthew I. Campbell Multidimensional sequential sampling for NURBs-based metamodel development. Search on Bibsonomy Eng. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Non uniform rational B-splines, Sampling criteria, Multicriteria sampling, Metamodels, Sequential sampling, Cooling schedule
25Haifeng Li 0006, Hong Chen 0001 GraSeq : A Novel Approximate Mining Approach of Sequential Patterns over Data Stream. Search on Bibsonomy ADMA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF directed weighted graph, data stream, sequential pattern
25Alice Marascu, Florent Masseglia Mining sequential patterns from data streams: a centroid approach. Search on Bibsonomy J. Intell. Inf. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Clustering, Data streams, Web usage mining, Sequential patterns, Sequences alignment
25Malcolm Tyrrell, Joseph M. Morris, Andrew Butterfield, Arthur Hughes A Lattice-Theoretic Model for an Algebra of Communicating Sequential Processes. Search on Bibsonomy ICTAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF denotational models, communicating sequential processes, nondeterminacy
25Unil Yun A Pruning Technique to Discover Correlated Sequential Patterns in Retail Databases. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2006 DBLP  DOI  BibTeX  RDF weighted support affinity, data mining, sequential pattern mining
25Chung-Ching Yu, Yen-Liang Chen Mining Sequential Patterns from Multidimensional Sequence Data. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequence data, data mining, sequential patterns, Frequent pattern
25Roger Curry, Cameron Kiddle, Rob Simmonds, Brian W. Unger Sequential Performance of Asynchronous Conservative PDES Algorithms. Search on Bibsonomy PADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Sequential Discrete Event Simulation, Parallel Discrete Event Simulation, Conservative Synchronization
25Chung-Wen Cho, Yi-Hung Wu, Arbee L. P. Chen Effective Database Transformation and Efficient Support Computation for Mining Sequential Patterns. Search on Bibsonomy DASFAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Database transformation, Frequent k-sequences, Data mining, Sequential patterns
25Sean Safarpour, Görschwin Fey, Andreas G. Veneris, Rolf Drechsler Utilizing don't care states in SAT-based bounded sequential problems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF don't care states, unreachable states, satisfiability, bounded model checking, sequential equivalence checking
25Chris Bailey-Kellogg, Sheetal Chainraj, Gopal Pandurangan A random graph approach to NMR sequential assignment. Search on Bibsonomy RECOMB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF automated sequential resonance assignment, chemical shift degeneracy, nuclear magnetic resonance (NMR) spectroscopy, protein structure determination, randomized algorithm, probabilistic analysis, hamiltonian path, random graph model
25Florent Masseglia, Doru Tanasa, Brigitte Trousse Web Usage Mining: Sequential Pattern Extraction with a Very Low Support. Search on Bibsonomy APWeb The full citation details ... 2004 DBLP  DOI  BibTeX  RDF patterns summary, clustering, neural networks, Web usage mining, sequential patterns
25Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
25Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj Estimation of state line statistics in sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal statistics, transition density, finite-state machine, sequential circuit, Power estimation, switching activity, signal probability
25Lei Pan 0001, Lubomir Bic, Michael B. Dillencourt, Ming Kin Lai Mobile Agents - The Right Vehicle for Distributed Sequential Computing. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed sequential computing (DSC), computation mobility, distributed code building block (DBlock), algorithmic integrity, Crout factorization, scalability, mobile agents, paging
25Yinshui Xia, Xunwei Wu, Penjung Wang Design of Ternary Schmitt Triggers Based on Its Sequential Characteristics. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Schmitt trigger, ternary circuit, sequential characteristics, TTL
25Ricard Gavaldà, Osamu Watanabe 0001 Sequential Sampling Algorithms: Unified Analysis and Lower Bounds. Search on Bibsonomy SAGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data mining, Random sampling, adaptive sampling, sequential sampling, Chernoff bounds
25Lei Pan 0001, Lubomir Bic, Michael B. Dillencourt Distributed Sequential Numerical Computing Using Mobile Agents: Moving Code to Data. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF autonomous mobile agents, distributed sequential computing, numerical solution of linear system of equations, Gauss-Seidel iteration, Crout factorization, distributed computing, Network of Workstations, matrix operations, MESSENGERS
25Anne Condon, Alan J. Hu Automatable verification of sequential consistency. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF model checking, memory model, sequential consistency
25Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Deterministic Built-in Pattern Generation for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing
25Peichen Pan, C. L. Liu 0001 Optimal clock period FPGA technology mapping for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis
25Rosa Rodríguez-Montañés, Joan Figueras Bridges in sequential CMOS circuits: current-voltage signatur. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sequential CMOS circuits, current-voltage signature, I/sub DDQ/-V/sub DD/ signature, control loop nodes, fault diagnosis, fault diagnosis, temperature dependence, bridging defects
25Myoung Kwon Tcheun, Hyunsoo Yoon, Seung Ryoul Maeng An adaptive sequential prefetching scheme in shared-memory multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive sequential prefetching scheme, hardware controlled scheme, high sequentiality, shared-memory multiprocessors, shared memory systems, application programs, sequentiality, memory accesses
24Michael A. Bender, Haodong Hu An adaptive packed-memory array. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive packed-memory array, locality preserving, packed-memory array, rebalance, sequential file maintenance, sequential scan, sparse array, range query, cache oblivious
24Michael A. Bender, Haodong Hu An adaptive packed-memory array. Search on Bibsonomy PODS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive packed-memory array, locality preserving, packed-memory array, rebalance, sequential file maintenance, sequential scan, sparse array, range query, cache oblivious
24Roman Fric Convergence and Duality. Search on Bibsonomy Appl. Categorical Struct. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF concrete category, dual equivalence, cogenerator, sober object, bold algebra, ukasiewicz tribe, D-poset, sequential convergence, sequential continuity, measurable map, probability event, reflection, observable, MV-algebra, measurable space
24Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal Compaction-based test generation using state and fault information. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation
24Toshiyuki Maeda, Kozo Kinoshita Memory reduction of IDDQ test compaction for internal and external bridging faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF I/sub DDQ/ test compaction, internal bridging faults, external bridging faults, IDDQ test sequence, reassignment method, weighted random sequences, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic testing, fault simulation, CMOS logic circuits, CMOS circuits, test application time reduction, memory reduction
24Sumit Roy 0003, Prithviraj Banerjee A Comparison of Parallel Approaches for Algebraic Factorization in Logic Synthesis. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF algebraic factorization, circuit replication, totally independent factorization, L-shaped partitioning strategy, rectangle interaction, ex1010 circuit, sequential kernel extraction algorithms, SIS sequential circuit synthesis system, quality degradation, parallel algorithms, logic synthesis, logic CAD, circuit partitions, divide-and-conquer strategy
24Afonso Ferreira, Claire Kenyon, Andrew Rau-Chaplin, Stéphane Ubéda d-Dimensional Range Search on Multicomputers. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF d-dimensional range search, range tree, multidimensional point sets, geometric applications, parallel distributed memory setting, d-dimensional Cartesian space, distributed range tree, sequential data structure, associative-function, report modes, parallel construction algorithms, parallel search algorithms, sequential time, data structure, search problems, multicomputers, range queries, processors, computation time, running times, database applications, coarse grained multicomputer, h-relations
24Edward A. Lee, Alberto L. Sangiovanni-Vincentelli Comparing models of computation. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF concurrent sequential processes, denotational framework, synchronous events, totally ordered set, value-tag pair, Petri nets, discrete-event systems, models of computation, partially ordered set, concurrent processes, Kahn process networks, sequential processes
24Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Automatic test generation using genetically-engineered distinguishing sequences. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two-phase algorithm, fault effects, DIGATE, genetic algorithms, genetic algorithm, fault diagnosis, logic testing, sequential circuits, sequential circuit, automatic testing, sequences, flip-flops, automatic test generation, distinguishing sequence
24Ajay J. Daga, William P. Birmingham A symbolic-simulation approach to the timing verification of interacting FSMs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF symbolic-simulation approach, interacting FSMs, timing verifier, complex sequential circuit verification, combinational paths, inherently modular nature, symbolic simulation verification methodology, formal verification, logic testing, finite state machines, finite state machines, sequential circuits, circuit analysis computing, timing verification
24Guido Wirtz Modularization, re-use and testing for parallel message-passing programs. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel message-passing programs, program reuse, parallel program design, imperative message-passing programming language, distributed memory paradigm, graphical constructs, sequential parts, slightly restricted ANSI-C, formal specification, parallel programming, message passing, modularization, requirements, program testing, program testing, software reusability, distributed memory systems, parallel languages, sequential programming
24Frank F. Hsu, Janak H. Patel A distance reduction approach to design for testability. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distance reduction approach, center state, test function embedding technique, SFT techniques, logic testing, finite state machines, finite state machines, design for testability, design for testability, sequential circuits, sequential circuits, flip-flops, flip-flops, synthesis for testability, test function, average distance, DFT techniques
24Jun Gu, Wei Wang A Novel Discrete Relaxation Architecture. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF discrete relaxation algorithm, sequential AC-1 algorithm, sequential AC-4 algorithm, parallel DRA5 algorithm, parallel algorithms, computational complexity, parallel architectures, time complexity, polynomial, polynomials
24Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
24Mohamed Soufi, Yvon Savaria, F. Darlay, Bozena Kaminska Producing Reliable Initialization and Test of Sequential Circuits with Pseudorandom Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF full reset, initialization of sequential circuits, modelization of sequential circuits, Markov chain processes, Built-in self-testing, pseudorandom testing, testability measures, partial reset
24Irith Pomeranz, Sudhakar M. Reddy On the Role of Hardware Reset in Synchronous Sequential Circuit Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hardware reset, synchronous sequential circuit test generation, state variables, test generation procedure, logic testing, sequential circuits, test sequence
24Irith Pomeranz, Sudhakar M. Reddy Classification of Faults in Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF undetectable faults, initial conditions, partially detectable faults, synchronization mode, free mode, logic testing, sequential circuits, synchronisation, fault location, synchronous sequential circuits, combinatorial circuits, test sequence, faults classification, redundant faults
24Todd P. Kelsey, Kewal K. Saluja, Soo Young Lee An Efficient Algorithm for Sequential Circuit Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF automatic test generation algorithm, nine-valued logic model, Initial Timeframe Algorithm, Previous State Information Problem, faulty machine states, logic testing, sequential circuits, automatic testing, sequential circuit test generation, PODEM
24Baruch Awerbuch, Bonnie Berger, Lenore Cowen, David Peleg Near-Linear Cost Sequential and Distribured Constructions of Sparse Neighborhood Covers Search on Bibsonomy FOCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF near-linear cost sequential constructions, distributed constructions, sparse neighborhood covers, dynamic approximate shortest paths, distance-preserving graph spanners, network synchronizer constructions, adaptive routing, sequential algorithms, breadth-first-search, network decompositions
24Sudhir Dhawan, Ronald C. de Vries Design of Self-Checking Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF error transmission, self-checking sequential machines, design, error detection, flip-flops, flip-flops, sequential machines, excitation, memory elements
24Dhiraj K. Pradhan Sequential Network Design Using Extra Inputs for Fault Detection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF In order to enhance fault-detection capability, extra inputs are used when designing sequential machines, Here, a technique is proposed that designs these machines, precisely with the use of extra inputs?so as especially to minimize the length of the checking sequence, The resulting checking sequences are shown to be upper bounded by (3 + 2n)m+rn(3m + 1), where n is the number of states, r is the number of inputs, m = logs, n and s is the number of extra inputs, respectively., diameter of graphs, fault detection, directed graphs, sequential machine, distinguishing sequence, Checking sequence
24Asok Bhattacharyya On a Novel Approach of Fault Detection in an Easily Testable Sequential Machine with Extra Inputs and Extra Outputs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF easily testable sequential machine, fault diagnosis, fault detection, sequential machines, Checking sequences
24Takeomi Tamesada Sequential Machines Having Quasi-Stable States. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF unstable state, Asynchronous sequential machine, autonomous sequential machine, flow table, multivibrator, quasi-stable state, stable state, statetransition diagram
24Edward W. Page, Peter N. Marinos Programmable Array Realizations of Synchronous Sequential Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Programmable cellular array, secondary-state assignment, sequential machine structure, sequential machine synthesis
24Leonard R. Marino The Effect of Asynchronous Inputs on Sequential Network Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Asynchronous arbiter, asynchronous sequential networks, flip-flop oscillations, inertial delay, input synchronization, metastable state, Schmitt trigger, synchronous sequential networks, reliability, asynchronous interactions
24John F. Wakerly Comments on "Asynchronous Sequential Machines Designed for Fault Detection". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Asynchronous sequential machines, self-testing circuits, fault detection, sequential machines, self-checking circuits
23Chia-Chu Chiang An Implementation of a Framework for Open Distributed Computing. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Distributed Parallel Programming, Concurrency, Heterogeneity, Programming Paradigms
23Kenjiro Taura, Kunio Tabata, Akinori Yonezawa StackThreads/MP: Integrating Futures into Calling Standards. Search on Bibsonomy PPoPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Gail E. Kaiser Concurrent meld. Search on Bibsonomy OOPSLA/ECOOP Workshop on Object-based Concurrent Programming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF MELD
23Jeanne Ferrante, Mary E. Mace On Linearizing Parallel Code. Search on Bibsonomy POPL The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
23Tao Gu, Zhanqing Wu, XianPing Tao, Hung Keng Pung, Jian Lu 0001 epSICAR: An Emerging Patterns based Approach to Sequential, Interleaved and Concurrent Activity Recognition. Search on Bibsonomy PerCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Grey Ballard, James Demmel, Olga Holtz, Oded Schwartz Communication-optimal parallel and sequential Cholesky decomposition: extended abstract. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cholesky decomposition, communication avoiding, algorithm, lower bound, latency, bandwidth
23Emanuele Grossi, Marco Lops Sequential Along-Track Integration for Early Detection of Moving Targets. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sue-Chen Hsueh, Ming-Yen Lin, Chien-Liang Chen Mining Negative Sequential Patterns for E-commerce Recommendations. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jia-Dong Ren, Jing Yang, Yan Li Mining Weighted Closed Sequential Patterns in Large Databases. Search on Bibsonomy FSKD (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23In-Ho Moon, Per Bjesse, Carl Pixley A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jia Wang 0004, Xiaolin Wu 0001, Jun Sun 0005, Songyu Yu On Multi-Stage Sequential Coding of Correlated Sources. Search on Bibsonomy DCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Tasuku Ito, Michitaka Kameyama Universal VLSI Based on a Redundant Multiple-Valued Sequential Logic Operation. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Wencheng Wang, Feng Wei, Enhua Wu View Dependent Sequential Point Trees. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GPU, real time rendering, point-based rendering, visibility culling, view dependence
23Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Zhenglu Yang, Masaru Kitsuregawa, Yitong Wang PAID: Mining Sequential Patterns by Passed Item Deduction in Large Databases. Search on Bibsonomy IDEAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23René Arnulfo García-Hernández, José Francisco Martínez Trinidad, Jesús Ariel Carrasco-Ochoa A New Algorithm for Fast Discovery of Maximal Sequential Patterns in a Document Collection. Search on Bibsonomy CICLing The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23S. Shaheen Fatima Sequential versus simultaneous auctions: a case study. Search on Bibsonomy ICEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-object auctions, game-theory
23Lige Yu, Anthony Ephremides Detection Performance and Energy Efficiency of Sequential Detection in a Sensor Network. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Yu Hirate, Hayato Yamana Sequential Pattern Mining with Time Intervals. Search on Bibsonomy PAKDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Tianrui Li 0001, Yang Xu 0001, Da Ruan 0001, Wuming Pan Sequential Pattern Mining. Search on Bibsonomy Intelligent Data Mining The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Feng Lu 0002, Madhu K. Iyer, Ganapathy Parthasarathy, Li-C. Wang, Kwang-Ting Cheng, Kuang-Chien Chen An Efficient Sequential SAT Solver With Improved Search Strategies. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yun Xiong, Yangyong Zhu A Multi-Supports-Based Sequential Pattern Mining Algorithm. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Vicent Cholvi, Antonio Fernández 0001, Ernesto Jiménez, Michel Raynal A Methodological Construction of an Efficient Sequential Consistency Protocol. Search on Bibsonomy NCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Debesh Kumar Das, Tomoo Inoue, Susanta Chakraborty, Hideo Fujiwara Max-Testable Class of Sequential Circuits having Combinational Test Generation Complexity. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Jim Morey, Kamran Sedig Using Indexed-Sequential Geometric Glyphs to Explore Visual Patterns. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Petre Tzvetkov, Xifeng Yan, Jiawei Han 0001 TSP: Mining Top-K Closed Sequential Patterns. Search on Bibsonomy ICDM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Jen-Tzung Chien Quasi-Bayes linear regression for sequential learning of hidden Markov models. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Pak Chung Wong, Wendy Cowley, Harlan Foote, Elizabeth Jurrus, James J. Thomas Visualizing Sequential Patterns for Text Mining. Search on Bibsonomy INFOVIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Carmen L. T. Borges, Djalma M. Falcão Power System Reliability by Sequential Monte Carlo Simulation on Multicomputer Platforms. Search on Bibsonomy VECPAR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Klaus Reinhardt Strict Sequential P-completeness. Search on Bibsonomy STACS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Thomas E. Marchok, Aiman H. El-Maleh, Wojciech Maly, Janusz Rajski A complexity analysis of sequential ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Chi-Ying Tsui, José Monteiro 0001, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin 0001 Power estimation methods for sequential logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen Single-fault fault-collapsing analysis in sequential logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
23Rose F. Gamble Transforming Rule-Based Programs: From the Sequential to the Parallel. Search on Bibsonomy IEA/AIE (Vol. 2) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Srinivas Devadas Approaches to Multi-level Sequential Logic Synthesis. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Hi-Keung Tony Ma, Srinivas Devadas, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Test generation for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 26106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license