The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Matti Paavola, Mikko Saukoski, Mika Laiho, Kari Halonen A Micropower Voltage, Current, and Temperature Reference for a Low-Power Capacitive Sensor Interface. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Lin Yuan, Gang Qu 0001 ALT-DVS: Dynamic Voltage Scaling with Awareness of Leakage and Temperature for Real-Time Systems. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Hong Luo, Yu Wang 0002, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Modeling of PMOS NBTI Effect Considering Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Hiroyuki Mori, Daisuke Kanaoka Application of Support Vector Regression to Temperature Forecasting for Short-term Load Forecasting. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Pu Liu, Hang Li, Lingling Jin, Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002 Fast Thermal Simulation for Runtime Temperature Tracking and Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Giacomo Paci, Paul Marchal, Francesco Poletti, Luca Benini Exploring "temperature-aware" design in low-power MPSoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Yeander Kuan, Yao-Wen Hsueh, Hsin-Chung Lien, Wen-Ping Chen Integrating Computational Fluid Dynamics and Neural Networks to Predict Temperature Distribution of the Semiconductor Chip with Multi-heat Sources. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Radek Kuchta, Radimir Vrba Wireless Temperature Sensor System. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Sheng-Chih Lin, Kaustav Banerjee An electrothermally-aware full-chip substrate temperature gradient evaluation methodology for leakage dominant technologies with implications for power estimation and hot-spot management. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Lin Yuan, Sean Leventhal, Gang Qu 0001 Temperature-aware leakage minimization technique for real-time systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29M. Thomas, J. Pathak, J. Payne, Friedrich Peter Leisenberger, Ewald Wachmann, Gregor Schatzberger, Andreas Wiesner, Martin Schrems A Non-Volatile Embedded Memory for High Temperature Automotive and High-Retention Applications. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Andrei Bourchtein, Ludmila Bourchtein, Maxim Naumov Stability of Semi-implicit Atmospheric Models with Respect to the Reference Temperature Profile. Search on Bibsonomy Numerical Methods and Applications The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Baudouin Denis de Senneville, Pascal Desbarats, Bruno Quesson, Chrit T. W. Moonen 3D motion estimation for on-line MR temperature mapping. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Yong Zhan, Sachin S. Sapatnekar Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Kyeong-Jae Lee, Kevin Skadron Using Performance Counters for Runtime Temperature Sensing in High-Performance Processors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Bowei Zhang, Mojtaba Kahrizi Characteristics of fiber Bragg grating temperature sensor at elevated temperatures. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Hang Li, Pu Liu, Zhenyu Qi, Lingling Jin, Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002 Efficient Thermal Simulation for Run-Time Temperature Tracking and Management. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Chun-Chi Chen, Wen-Fu Lu, Chin-Chung Tsai, Poki Chen A time-to-digital-converter-based CMOS smart temperature sensor. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang 0004, Sivakumar Velusamy, David Tarjan Temperature-aware microarchitecture: Modeling and implementation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Dynamic compact thermal models, fetch gating, dynamic voltage scaling, feedback control, dynamic thermal management
29John A. Rose The Fidelity of the Tag-Antitag System III. Robustness in the Excess Limit: The Stringent Temperature. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Cheruku Venkateswarlu, Sumanth Yenduri, S. Sitharama Iyengar Digital Analysis of Thermal Infrared Imagery using Temperature Mapping. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ethan Long, W. Robert Daasch, Robert Madge, Brady Benware Detection of Temperature Sensitive Defects Using ZTC. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Gerald Esch Jr., Tom Chen 0001 Design of CMOS IO Drivers with Less Sensitivity to Process, Voltage, and Temperature Variations. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Bowei Zhang, Mojtaba Kahrizi High-Temperature Bragg Grating Waveguide Sensor. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Oleg Semenov, Arman Vassighi, Manoj Sachdev, Ali Keshavarzi, Charles F. Hawkins Burn-in Temperature Projections for Deep Sub-micron Technologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Jörn Mehnen, Thomas Michelitsch, Klaus Weinert Evolutionary Optimized Mold Temperature Control Strategies Using a Multi-polyline Approach. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Kevin Skadron, Mircea R. Stan, Wei Huang 0004, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan Temperature-Aware Microarchitecture. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Kee-Chee Tiew, J. Cusey, Randall L. Geiger A curvature compensation technique for bandgap voltage references using adaptive reference temperature. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29A. Bendali, Yvon Savaria Low-voltage bandgap reference with temperature compensation based on a threshold voltage technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Milena Baroncini, Pisana Placidi, Andrea Scorzoni, Gian Carlo Cardinali, Leonello Dori, Sergio Nicoletti Accurate extraction of the temperature of the heating element in micromachined gas sensors. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Godi Fischer, James C. Daly, Conrad W. Recksiek, Kevin D. Friedland A programmable temperature monitoring device for tagging small fish: a prototype chip development. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Chung-Ping Wan, Bing J. Sheu Temperature dependence modeling for MOS VLSI circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
28Mohsen Bayati, David Gamarnik, Prasad Tetali Combinatorial approach to the interpolation method and scaling limits in sparse random graphs. Search on Bibsonomy STOC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scaling limit, constraint satisfaction problems, random graphs, partition function
28U. Rajendra Acharya, E. Y. K. Ng, Gerk Chang Yee, Tan Jian Hua, Manjunath Kagathi Analysis of Normal Human Eye with Different Age Groups using Infrared Images. Search on Bibsonomy J. Medical Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ocular, Cornea, Image, Normal, Infrared, Eye
28Hao Yu 0001, Yiyu Shi 0001, Lei He 0001, Tanay Karnik Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha System-Level Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Yogender Aggarwal, Bhuwan Mohan Karan, Barda Nand Das, Rakesh Kumar Sinha Computer Simulation of Heat Transfer in Different Tissue Layers of Body Extremities Under Heat Stress in Deep Anesthetic Condition. Search on Bibsonomy J. Medical Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anesthetic condition, Heat stress, Passive system, Thermoregulation, Model
28Fabrizio Mulas, Michele Pittau, Marco Buttu, Salvatore Carta, Andrea Acquaviva, Luca Benini, David Atienza, Giovanni De Micheli Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Pu Liu, Sheldon X.-D. Tan, Wei Wu 0024, Murli Tirumala FEKIS: a fast architecture-level thermal analyzer for online thermal regulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, model reduction, thermal simulation
28David Wolpert 0001, Paul Ampadu A Low-Power Safety Mode for Variation Tolerant Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Zhijian Lu, Wei Huang 0004, Mircea R. Stan, Kevin Skadron, John C. Lach Interconnect Lifetime Prediction for Reliability-Aware Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Phillip H. Jones, James Moscola, Young H. Cho, John W. Lockwood Adaptive Thermoregulation for Applications on Reconfigurable Devices. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Agnes Sarolta Nagy, Alicia Polanco, Manuel Alvarez Contributions to improve design accuracy of bipolar ics via physical effects. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF base-emitter capacitance model, emission coefficient, physical effects
28Barbara Gladysz, Dorota Kuchta Outliers Detection in Selected Fuzzy Regression Models. Search on Bibsonomy WILF The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Jaroslaw Kilon, Aida Saeed-Baginska, Jerzy Sienkiewicz, Romuald Mosdorf Nonlinear and Frequency Analysis of the Weather in Bialystok. Search on Bibsonomy CISIM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF windowed Fourier transform, weather analysis, wavelet analysis, fractal analysis, nonlinear analysis
28Hsin-Ni Ho, Lynette A. Jones Infrared Thermal Measurement System for Evaluating Model-Based Thermal Displays. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Mosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud Mitigating Thermal Effects on Clock Skew with Dynamically Adaptive Drivers. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wang 0004, Li Shang Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Phillip H. Jones, John W. Lockwood, Young H. Cho A Thermal Management and Profiling Method for Reconfigurable Hardware Applications. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Hao Yu 0001, Yiyu Shi 0001, Lei He 0001, Tanay Karnik Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SQP optimization, structured and parameterized macromodel, thermal management and simulation
28Zhijian Lu, John C. Lach, Mircea R. Stan, Kevin Skadron Improved Thermal Management with Reliability Banking. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic thermal/reliability management, Analytical and simulation techniques, Modeling, Performability, Electromigration
28Man Lung Mui, Kaustav Banerjee, Amit Mehrotra Supply and power optimization in leakage-dominant technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28S. Suprijanto, M. W. Vogel, Frans Vos, Henri A. Vrooman, Albert M. Vossepoel Inter-frame Motion Correction for MR Thermometry. Search on Bibsonomy MICCAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Songqing Zhang, Vineet Wason, Kaustav Banerjee A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF die-to-die variations, electrothermal couplings, subthreshold leakage power distribution, yield estimation, process variations, within-die variations
28Baudouin Denis de Senneville, Pascal Desbarats, Rares Salomir, Bruno Quesson, Chrit T. W. Moonen Correction of Accidental Patient Motion for Online MR Thermometry. Search on Bibsonomy MICCAI (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins, Vivek De Multiple-parameter CMOS IC testing with increased sensitivity for IDDQ. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28S. Suprijanto, M. W. Vogel, Frans Vos, Henri A. Vrooman, Albert M. Vossepoel Displacement Correction Scheme for MR-Guided Interstitial Laser Therapy. Search on Bibsonomy MICCAI (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Sung-Mo Kang On-chip thermal engineering for peta-scale integration. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Ching-Han Tsai, Sung-Mo Kang Cell-level placement for improving substrate thermal distribution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Joanna M. Y. Tan, E. Y. K. Ng, U. Rajendra Acharya, Louis G. Keith, Jim Holmes Comparative Study on the Use of Analytical Software to Identify the Different Stages of Breast Cancer Using Discrete Temperature Data. Search on Bibsonomy J. Medical Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Neural networks, Support vector machine, Sensor, Gaussian mixture model, Fuzzy, Classifier, Temperature, Breast cancer
26Anna Fabijanska, Dominik Sankowski Computer vision system for high temperature measurements of surface properties. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Image quantitative analysis system, High-temperature measurements, Surface properties, Image enhancement, Digital image processing, CCD camera
26Mehdi Abbaszadeh Naseri, Alireza Yazdizadeh Neural Network-Based IMC-PID Controller Design for Main Steam Temperature of a Power Plant. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IMC-PID, Main steam temperature, Neural networks
26Xuyun Fu, Gang Ding, Shisheng Zhong Aeroengine Turbine Exhaust Gas Temperature Prediction Using Support Vector Machines. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Aeroengine condition monitoring, Turbine exhaust gas temperature, Support vector machines, Time series prediction
26Björn Sander, Jürgen Schnerr, Oliver Bringmann 0001 ESL power analysis of embedded processors for temperature and reliability estimations. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, power analysis, temperature, electronic system level
26Francesco Ceravolo, Matteo De Felice, Stefano Pizzuti Combining Back-Propagation and Genetic Algorithms to Train Neural Networks for Ambient Temperature Modeling in Italy. Search on Bibsonomy EvoWorkshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ambient Temperature Modeling, Sustainable Building Design, Neural Networks, Back-Propagation Algorithm, Simple Genetic Algorithm
26Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick Online work maximization under a peak temperature constraint. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature-constraint optimization, performance, reliability, DVFS
26Jinhe Wang, Nan Zhang Temperature Control for the Thermal Cycling of Polymerase Chain Reaction. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Polymerase chain reaction, thermal cycling, adaptive PID, temperature control
26Qihai Zhou, Tao Huang, Yuanxin Zhang, Hongyu Wu, Zhongjun Li, Xun Lin Discovering Focused Information and Mining Information Focus Based on Isomorphic Information Temperature. Search on Bibsonomy ISIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Knowlege Discovering, Information Temperature, Data mining, Isomorphic
26Kevin Skadron, Pradip Bose, Kanad Ghose, Resit Sendag, Joshua J. Yi, Derek Chiou Low-Power Design and Temperature Management. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, power management, hardware, energy-aware systems, temperature-aware design
26Cheng Wang, Chunjiang Zhao 0001, Xiaojun Qiao, Zhilong Xu A Measuring Instrument for Multipoint Soil Temperature Underground. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-point Soil Temperature, DS18B20, C8051F310, Portable
26Weizhong Yang, Qiaoxue Dong, Yiming Wang Study on Greenhouse Temperature Variable Universal Adaptive Fuzzy Control. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF greenhouse temperature, variable universal, fuzzy control
26Daogang Peng, Hao Zhang 0007, Ping Yang Reheat Steam Temperature Composite Control System Based on CMAC Neural Network and Immune PID Controller. Search on Bibsonomy ISNN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMAC neural network, Immune PID controller, Composite control, Reheat steam temperature system
26Emine Dogru Bolat, Kadir Erkan, Seda Postalcioglu Microcontroller Based Temperature Control of Oven Using Different Kinds of Autotuning PID Methods. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2005 DBLP  DOI  BibTeX  RDF autotuning PID methods, Adaptive control, temperature control
26Arman Vassighi, Ali Keshavarzi, Siva G. Narendra, Gerhard Schrom, Yibin Ye, Seri Lee, Greg Chrysler, Manoj Sachdev, Vivek De Design optimizations for microprocessors at low temperature. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal modeling, low temperature, refrigeration, power, microprocessor, CMOS, frequency, cooling
26Lei He 0001, Weiping Liao, Mircea R. Stan System level leakage reduction considering the interdependence of temperature and leakage. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF microarchitecture, leakage power, temperature
26Stephen Tang, Siva G. Narendra, Vivek De Temperature and process invariant MOS-based reference current generation circuits for sub-1V operation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bandgap reference, process and temperature compensation, reference current, CMOS
26Kaveh Shakeri, James D. Meindl Temperature Variable Supply Voltage for Power Reduction. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CMOS, Temperature, Power reduction, Dynamic Power, MOSFET, Static Power
26S. Misbah Deen, Martyn Fletcher Temperature Equilibrium in Multi-Agent Manufacturing Systems. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF temperature equilibrium, multi-agent manufacturing systems, load equilibrium, relative heat, latent heat, coordination domain infrastructure, pragmatic interaction paradigms, production control, agent cooperation
25Peng Li 0001 Critical Path Analysis Considering Temperature, Power Supply Variations and Temperature Induced Leakage. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems
25Pierre Michaud, Yiannakis Sazeides, André Seznec Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF activity migration, sequential performance, power, multicore, temperature, cache misses, manycore
25Yufu Zhang, Ankur Srivastava 0001 Adaptive and autonomous thermal tracking for high performance computing systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power characteristics, temperature tracking, adaptive, sensor, statistical
25Basab Datta, Wayne P. Burleson Collaborative sensing of on-chip wire temperatures using interconnect based ring oscillators. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensor, interconnect, temperature, oscillator
25Gopi Goswami, Jun S. Liu On learning strategies for evolutionary Monte Carlo. Search on Bibsonomy Stat. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive directional sampling, Effective sample size, Mixture normal, Parallel tempering, Snooker algorithm, Temperature ladder, Neural networks
25Sanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli QCA Circuits for Robust Coplanar Crossing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coplanar crossing, temperature characterization, defect characterization, Bayesian network, QCA, TMR
25Lee-ming Wang, Vincent G. Duffy, Yingzi Du A Composite Measure for the Evaluation of Mental Workload. Search on Bibsonomy HCI (12) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF facial skin temperature, pupil dilation, thermography, 1D iris identification, mental workload
25Seda Postalcioglu, Kadir Erkan, Emine Dogru Bolat Implementation of Intelligent Active Fault Tolerant Control System. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault detection, fault tolerant control, fault identification, temperature control
25Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
25Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
25Dennis Laffey, John L. Buckley, Brendan O'Flynn The development of environmentally tested antennas for wireless sensor networks. Search on Bibsonomy EmNets The full citation details ... 2007 DBLP  DOI  BibTeX  RDF antenna design, antenna testing, humidity and temperature testing, wireless sensor networks
25Rajarshi Mukherjee, Somsubhra Mondal, Seda Ogrenci Memik Thermal sensor allocation and placement for reconfigurable systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor, placement, allocation, temperature
25Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti, Naehyuck Chang An optimal analytical solution for processor speed control with thermal constraints. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimal control, temperature, DVFS, thermal management, DTM
25Ting-Yuan Wang, Yu-Min Lee, Charlie Chung-Ping Chen 3D thermal-ADI: an efficient chip-level transient thermal simulator. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ADI, design, automation, temperature, finite difference methods, thermal simulation
25James M. Varanelli, James P. Cohoon A two-stage simulated annealing methodology. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF two-stage simulated annealing methodology, starting temperature determination, problem suite, VLSI, VLSI, formal method, simulated annealing, CAD, integrated circuit design, circuit CAD, optimization problems, circuit optimisation, running time, adaptive schedules, stop criterion
24Jianwei Liu 0007, Allen Higgins, Yao-Hua Tan IT enabled redesign of export procedure for high-value pharmaceutical product under temperature control: the case of drug living lab. Search on Bibsonomy DG.O The full citation details ... 2010 DBLP  BibTeX  RDF G2B, export procedure, redesign methodology, case study, business process redesign
24Kumiko Kushiyama, Tetsuaki Baba, Kouki Doi, Shinji Sasada Temperature design display device to use peltier elements and liquid crystal thermograph sheet: "Thermo-Pict neo". Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Xiangrong Zhou, Chenjie Yu, Peter Petrov Temperature-aware register reallocation for register file power-density minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Yu-Wei Yang, Katherine Shu-Min Li Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24H. Oshiyama, Toshihiro Matsuda, K. Suzuki, Hideyuki Iwata, Takashi Ohzone A VDD independent temperature sensor circuit with scaled CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Shivam Tripathi, Rao S. Govindaraju Change detection in rainfall and temperature patterns over India. Search on Bibsonomy KDD Workshop on Knowledge Discovery from Sensor Data The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Indian summer monsoon, bayesian change point analysis, hidden markov models, markov random fields, trend
Displaying result #201 - #300 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license