The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing- and crosstalk-driven area routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Hakan Yalcin, Mohammad Mortazavi, Robert Palermo, Cyrus Bamji, Karem A. Sakallah, John P. Hayes Fast and accurate timing characterization using functionalinformation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Alejandro Hevia, Marcos A. Kiwi Strength of Two Data Encryption Standard Implementations under Timing Attacks. Search on Bibsonomy LATIN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Vighneswara Row Mokkarala, Antony Fan, Ravi Apte A unified approach to simulation and timing verification at the functional level. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
34Seyed-Abdollah Aftabjahani, Linda S. Milor Compact Variation-Aware Standard Cell Models for Timing Analysis - Complexity and Accuracy Analysis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Variation-Aware Timing Models, Standard Cells, Statistical Timing Analysis
34Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
34Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Optimal time borrowing analysis and timing budgeting optimization for latch-based designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF latch-based design, time borrowing, timing budgeting, static timing analysis, Cycle stealing
34Supratik Chakraborty, Rajeev Murgai Layout-Driven Timing Optimization by Generalized De Morgan Transform. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout-driven optimization, in-place circuit optimization, DeMorgan transformation, deep sub-micron design, Timing optimization, timing closure
34Eduard Cerny, Fen Jin Verification of Real Time Controllers Against Timing Diagram Specifications Using Constraint Logic Programming. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Interface verification, interface controllers, relational interval arithmetic, constraint logic programming, timing verification, timing diagrams
34Wendy Belluomini, Chris J. Myers Efficient Timing Analysis Algorithms for Timed State Space Exploration. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF timing analysis algorithms, timed state space exploration, timed circuit synthesis, geometric regions, computational complexity, timing, asynchronous circuits, partial orders
34Peter A. Walker, Sumit Ghosh On the Nature and Inadequacies of Transport Timing Delay Constructs in VHDL Descriptions. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF timing constructs, simulation of hardware descriptions, discrete event simulation, VHDL, Digital simulation, logic Simulation, Timing semantics
34Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Re-engineering of timing constrained placements for regular architectures. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Xilinx 3000 FPGA architecture, engineering requirements, regular architectures, timing constrained placements reengineering, FPGAs, field programmable gate arrays, logic CAD, program debugging, systems re-engineering, logic arrays, design flow, gate arrays, design specification, timing performance, design cycle, design debugging
34Ajay J. Daga, William P. Birmingham A symbolic-simulation approach to the timing verification of interacting FSMs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF symbolic-simulation approach, interacting FSMs, timing verifier, complex sequential circuit verification, combinational paths, inherently modular nature, symbolic simulation verification methodology, formal verification, logic testing, finite state machines, finite state machines, sequential circuits, circuit analysis computing, timing verification
34David Hung-Chang Du, S. H. Yen, Subbarao Ghanta On the General False Path Problem in Timing Analysis. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Graph Theory, Timing Analysis, Logic Simulation, VLSI circuit, Timing Verification, False path
33Mongkol Ekpanyapong, Xin Zhao 0001, Sung Kyu Lim An Efficient Computation of Statistically Critical Sequential Paths Under Retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Xinyuan Wang 0005, Douglas S. Reeves Robust correlation of encrypted attack traffic through stepping stones by manipulation of interpacket delays. Search on Bibsonomy CCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF intrusion tracing, robustness, correlation, stepping stones
33Kenichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye A gate delay model focusing on current fluctuation over wide-range of process and environmental variability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate delay model, variability, static timing analysis, statistical timing analysis
32Yoshitaka Nagami, Daisuke Miyamoto, Hiroaki Hazeyama, Youki Kadobayashi An Independent Evaluation of Web Timing Attack and its Countermeasure. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Web Timing Attack, Countermeasure, Web Application Security
32Takashi Enami, Shinyu Ninomiya, Masanori Hashimoto Statistical timing analysis considering spatially and temporally correlated dynamic power supply noise. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF principal component analysis, gaussianization, power supply noise, statistical timing analysis
32Tien Nhut Ho, Vishy Karri Fuzzy Expert System to Estimate Ignition Timing for Hydrogen Car. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hydrogen powered car, Ignition timing, Ignition advance, Hydrogen engine tuning, Fuzzy expert system
32Smita Krishnaswamy, Igor L. Markov, John P. Hayes On the role of timing masking in reliable logic circuit design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing, soft errors, SEUs
32Joel Coffman, Christopher A. Healy, Frank Mueller 0001, David B. Whalley Generalizing parametric timing analysis. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parametric timing analysis, worst-case execution time (WCET) analysis
32Debasish Das, Ahmed Shebaita, Yehea I. Ismail, Hai Zhou 0001, Kip Killpack NostraXtalk: a predictive framework for accurate static timing analysis in udsm vlsi circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, crosstalk, static timing analysis
32Kunhyuk Kang, Bipul C. Paul, Kaushik Roy 0001 Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Process variation, spatial correlation, statistical timing analysis
32Yao-Song Shen, Hongfeng Gao, Haishan Yao Spike Timing-Dependent Synaptic Plasticity in Visual Cortex: A Modeling Study. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF spike timing-dependent synaptic plasticity, two-point stimuli, orientation shifts, visual cortex
32Albert Mo Kim Cheng, Hsiu-yen Tsai A Graph-Based Approach for Timing Analysis and Refinement of OPS5 Knowledge-Based Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, expert systems, Timing analysis, response time, rule-based systems, production systems, OPS5, KBS
32Peter Suaris, Lung-Tien Liu, Yuzheng Ding, Nan-Chi Chou Incremental physical resynthesis for timing optimization. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, placement, logic synthesis, timing optimization
32Jia Xu On Inspection and Verification of Software with Timing Requirements. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF current practices, preruntime scheduling, verification, Real-time, complexity, predictability, software, code, inspection, software structures, restrictions, timing requirements
32Julien Cathalo, François Koeune, Jean-Jacques Quisquater A New Type of Timing Attack: Application to GPS. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GPS, Side-Channel Attacks, Timing Attacks, Identification Schemes
32Jochen A. G. Jess, Kerim Kalafala, Srinath R. Naidu, Ralph H. J. M. Otten, Chandramouli Visweswariah Statistical timing for parametric yield prediction of digital integrated circuits. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistical timing, yield prediction
32Christopher A. Healy, David B. Whalley Automatic Detection and Exploitation of Branch Constraints for Timing Analysis. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF best-case execution time, branch constraints, Real-time systems, timing analysis, worst-case execution time, infeasible paths
32Tomohiro Yoneda, Tomoya Kitai, Chris J. Myers Automatic Derivation of Timing Constraints by Failure Analysis. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Trace theoretic verification, Timing constraints, Failure analysis, Timed circuits
32John A. Clark, Jeremy L. Jacob Fault Injection and a Timing Channel on an Analysis Technique. Search on Bibsonomy EUROCRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Heuristic Optimisation, identification schemes, timing channels
32Shane Sendall, Alfred Strohmeier Specifying Concurrent System Behavior and Timing Constraints Using OCL and UML. Search on Bibsonomy UML The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Software System Specification, Unified Modeling Language (UML), Concurrent Programming, Timing Constraints, Object Constraint Language (OCL), Pre- and Postcondition
32Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng Dynamic Timing Analysis Considering Power Supply Noise Effects. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic timing analysis, input pattern dependent, power supply noise, deep submicron designs
32Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai A Timing-Driven Block Placer Based on Sequence Pair Model. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing-driven, building block placement, sequence pair, simulated annealing algorithm
32Satoshi Yamane Formal Timing Verification Techniques for Distributed System . Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF language inclusion algorithm, formal specification, timing verification, timed automaton
32Vicki H. Allan, Robert A. Mueller Compaction with General Timing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF general synchronous timing, microcode generation systems, branch delays, volatile registers, microoperations, multiple microinstructions, clocked microarchitectures, compilers, synchronisation, program compilers, microprogramming, target architecture, data-dependency graphs
32Val Pevzner, Andrew A. Kennings, Andy Fox Physical optimization for FPGAs using post-placement topology rewriting. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, timing optimization, physical synthesis
32Shinya Umeno Event order abstraction for parametric real-time system verification. Search on Bibsonomy EMSOFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic timing synthesis, counter-example guided abstraction refinement (cegar), event-based approach, parametric verification
32Haoxing Ren, David Z. Pan, Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia Hippocrates: First-Do-No-Harm Detailed Placement. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis optimizations, Hippocrates, first-do-no-harm detailed placement, pin-based timing constraint, electrical constraints, reduced wire-length
32Ayose Falcón, Paolo Faraboschi, Daniel Ortega Combining Simulation and Virtualization through Dynamic Sampling. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code caching, dynamic sampling, fast timing simulation, virtual machines, virtualization
32Edwin Naroska, Rung-Ji Shang, Feipei Lai, Uwe Schwiegelshohn Hybrid Parallel Circuit Simulation Approaches. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel timing simulation, cycle-based simulation, circuit simulation, workstation cluster
32Wael M. Elseaidy Static and dynamic analysis of real-time systems. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF SUP-INF procedure, Spec U/L bounds, deterministic timing tools, negative cycle, positive cycle, program U/L bounds, Real-time, theorem proving, real-time logic
31Takashi Enami, Masanori Hashimoto, Takashi Sato Decoupling capacitance allocation for timing with statistical noise model and timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Lizheng Zhang, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Mariagrazia Graziano, Cristiano Forzan, Davide Pandini Power Supply Selective Mapping for Accurate Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Chun-Yu Chuang, Wai-Kei Mak Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Ryan Fung, Vaughn Betz, William Chow Slack Allocation and Routing to Improve FPGA Timing While Repairing Short-Path Violations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Bao Liu 0001 Signal Probability Based Statistical Timing Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jun-Kuei Zeng, Chung-Ping Chen Deep Submicron Interconnect Timing Model with Quadratic Random Variable Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Jan Gustafsson, Björn Lisper, Markus Schordan, Christian Ferdinand, Peter Gliwa, Marek Jersak, Guillem Bernat ALL-TIMES - A European Project on Integrating Timing Technology. Search on Bibsonomy ISoLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Ruiming Chen, Lizheng Zhang, Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong Static timing: Back to our roots. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Lin Xie, Azadeh Davoodi, Jun Zhang, Tai-Hsuan Wu Adjustment-based modeling for statistical static timing analysis with high dimension of variability. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Tao Luo 0002, David A. Papa, Zhuo Li 0001, Chin Ngai Sze, Charles J. Alpert, David Z. Pan Pyramids: an efficient computational geometry-based approach for timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Muzhou Shao Fast Timing Update under the Effect of IR Drop. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Balaji Srinivasan, Vinay Bhaskar Chandratre, Menka Tewani 0.35µ, 1 GHz, CMOS Timing Generator Using Array of Digital Delay Lock Loops. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Yasamin Mostofi, Donald C. Cox A robust timing synchronization design in OFDM systems - part II: high-mobility cases. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Jingxian Wu 0001, Yahong Rosa Zheng, Khaled Ben Letaief, Chengshan Xiao On the error performance of wireless systems with frequency selective fading and receiver timing phase offset. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Kai Yang, Kwang-Ting Cheng Silicon Debug for Timing Errors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Shuo Zhou, Bo Yao, Hongyu Chen, Yi Zhu 0002, Michael D. Hutton, Truman Collins, Sridhar Srinivasan, Nan-Chi Chou, Peter Suaris, Chung-Kuan Cheng Efficient Timing Analysis With Known False Paths Using Biclique Covering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Mohamed Marey, Heidi Steendam Analysis of the Narrowband Interference Effect on OFDM Timing Synchronization. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele, Unmesh D. Bordoloi, Cem Derdiyok Cache-Aware Timing Analysis of Streaming Applications. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Yongjian Tang, Hans Hegt, Arthur H. M. van Roermund, Konstantinos Doris, Joost Briaire Statistical Analysis of Mapping Technique for Timing Error Correction in Current-Steering DACs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Mami Noguchi, Takekazu Kato Geometric and Timing Calibration for Unsynchronized Cameras Using Trajectories of a Moving Marker. Search on Bibsonomy WACV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Ratnakar Goyal, Sachin Shrivastava, Harindranath Parameswaran, Parveen Khurana Improved First-Order Parameterized Statistical Timing Analysis for Handling Slew and Capacitance Variation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Kai Yang, Kwang-Ting Cheng Timing-reasoning-based delay fault diagnosis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Dipankar Das 0002, Rajeev Kumar 0004, P. P. Chakrabarti 0001 Timing Verification of UML Activity Diagram Based Code Block Level Models for Real Time Multiprocessor System-on-Chip Applications. Search on Bibsonomy APSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Claude Helmstetter, Florence Maraninchi, Laurent Maillet-Contoz Test Coverage for Loose Timing Annotations. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee Timing-constrained yield-driven wire sizing for critical area minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Di Wu 0017, Jiang Hu, Min Zhao 0001, Rabi N. Mahapatra Timing driven track routing considering coupling capacitance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Gunilla Widén-Wulff, Elisabeth Davenport Information Sharing and Timing: Findings from Two Finnish Organizations. Search on Bibsonomy CoLIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Keoncheol Shin, Taewhan Kim Tight integration of timing-driven synthesis and placement of parallel multiplier circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Frank Mueller 0001 Timing Analysis: In Search of Multiple Paradigms. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Donna Nakano, Erric Solomon Task oriented visual interface for debugging timing problems in hardware design. Search on Bibsonomy AVI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cognitive model of users, information visualization, visual interface design
30Ryan Fung, Vaughn Betz, William Chow Simultaneous short-path and long-path timing optimization for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30You-Chang Ko, Eui-Seok Hwang, Jeong-Shik Dong, Hyong-Woo Lee, Choong-Ho Cho Throughput Analysis of ETSI BRAN HIPERLAN/2 MAC Protocol Taking Guard Timing Spaces into Consideration. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30António Casimiro, Paulo Veríssimo Generic Timing Fault Tolerance using a Timely Computing Base. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Carl J. Mauer, Mark D. Hill, David A. Wood 0001 Full-system timing-first simulation. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30I-De Huang, Sandeep K. Gupta 0001, Melvin A. Breuer Accurate and Efficient Static Timing Analysis with Crosstalk. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Alexander Marquardt, Vaughn Betz, Jonathan Rose Timing-driven placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Chung-Sheng Li, Kumar N. Sivarajan, David G. Messerschmitt Statistical analysis of timing rules for high-speed synchronous VLSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng POSET timing and its application to the synthesis and verification of gate-level timed circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Jacob White 0001, Jacob Avidan, Ibrahim Abe M. Elfadel, D. F. Wong 0001 Advances in transistor timing, simulation, and optimization (tutorial abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Xianlong Hong, Tianxiong Xue, Jin Huang, Chung-Kuan Cheng, Ernest S. Kuh TIGER: an efficient timing-driven global router for gate array and standard cell layout design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Hagit Attiya, Taly Djerassi-Shintel Time Bounds for Decision Problems in the Presence of Timing Uncertainty and Failures. Search on Bibsonomy WDAG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30James R. Burnham, Chih-Kong Ken Yang, Haitham A. Hindi A stochastic jitter model for analyzing digital timing-recovery circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay-locked loop (DLL), mean-time-between-failures (MTBF), timing margins, timing recovery circuits, Markov chain, stochastic model, jitter, bit-error-rate (BER)
30Renaud Jolivet, Alexander Rauch, Hans-Rudolf Lüscher, Wulfram Gerstner Predicting spike timing of neocortical pyramidal neurons by simple threshold models. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Spike Response Model, Stochastic input, Spike-timing reliability, Predicting spike timing, Adapting threshold
30Ali Dasdan, Ivan Hom Handling inverted temperature dependence in static timing analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF timing corners, voltage dependence, Static timing analysis, temperature dependence
30Xuandong Li, Johan Lilius Checking compositions of UML sequence diagrams for timing inconsistency. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF UML sequence diagram composition checking, timing inconsistency checking, real-time systems specification, system behaviour scenarios, high-level graphs, real-time systems, model checking, Unified Modeling Language, formal verification, graphs, timing, specification languages, sequences, diagrams, object interactions
30Stanislav Polonsky, Moyra K. McManus, Daniel R. Knebel, Steve Steen, Pia N. Sanda Non-invasive timing analysis of IBM G6 microprocessor L1 cache using picosecond imaging circuit analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF imaging circuit analysis, IBM G6 microprocessor, non-invasive backside timing, Picosecond Imaging Circuit Analysis, waveform extraction, integrated circuit testing, timing analysis, race condition, circuit switching, integrated memory circuits, hazards and race conditions, L1 cache
30Håkan Sundell, Philippas Tsigas Space efficient wait-free buffer sharing in multiprocessor real-time systems based on timing information. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF space-efficient wait-free algorithm, real-time multiprocessor systems, deadline guarantees, nonblocking algorithms, unbounded time-stamps, time-stamp bounding, concurrent read/write operations, real-time systems, protocol, data structures, data structures, timing, multiprocessing systems, mutual exclusion, blocking, buffer storage, timing information, shared buffer, memory protocols
30Rung-Bin Lin, Meng-Chiou Wu A New Statistical Approach to Timing Analysis of VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Statistical timing anylysis, longest path delay, path correlation, timing simulation
30Hakan Yalcin, John P. Hayes, Karem A. Sakallah An approximate timing analysis method for datapath circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Approximate timing analysis, conditional delay matrix, delay calculation, hierarchical timing models, signal propagation conditions
30Ayman I. Kayssi Macromodeling C- and RC-loaded CMOS inverters for timing analysis. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RC-loaded CMOS inverters, C-loaded CMOS inverters, series-resistor shunt-capacitor circuit, capacitive load case, input wave shape, transistor drive, timing, logic CAD, timing analysis, circuit analysis computing, CMOS logic circuits, circuit simulation, table lookup, macromodels, logic gates, lookup table, integrated circuit modelling
30Tong Gao, Hachem Moussa, I-Ling Yen, Farokh B. Bastani, Jun-Jang Jeng Service Composition for Real-Time Assurance. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-Time assurance, Specification and analysis, Service Composition
30Paul K. Rodman Forest vs. trees: where's the slack? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Mukund Sivaraman, Andrzej J. Strojwas Primitive path delay faults: identification and their use in timinganalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license