The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Ping Chao, Youn-Long Lin A motion compensation system with a high efficiency reference frame pre-fetch scheme for QFHD H.264/AVC decoding. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Xiangyu Dong, Xiaoxia Wu, Guangyu Sun 0003, Yuan Xie 0001, Hai Li 0001, Yiran Chen 0001 Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking, MRAM
19Richard Tran Mills, Chuan Yue, Andreas Stathopoulos, Dimitrios S. Nikolopoulos Runtime and Programming Support for Memory Adaptation in Scientific Applications via Local Disk and Remote Memory. Search on Bibsonomy J. Grid Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shared computational pools, Network RAM, Scientific libraries, Autonomic computing, Memory management
19Ivan Jureta, Stéphane Faulkner, Philippe Thiran Dynamic Requirements Specification for Adaptable and Open Service-Oriented Systems. Search on Bibsonomy ICSOC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Eun-ki Kim, Hyungjong Shin, Byung-Gil Jeon, Seokhee Han, Jaemin Jung, Youjip Won FRASH: Hierarchical File System for FRAM and Flash. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FRAM, NVRAM, Hierarchical Storage, Mounting Time, File System, NAND Flash Memory
19Jung Ho Ahn, William J. Dally Data parallel address architecture. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yifeng Luo, Jiwu Shu, Bing Yu, Dongchan Wen A Cache System Hosted on the iSCSI Target in an IP SAN. Search on Bibsonomy GCC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ling Wu, Cheng Li 0005 Performance Analysis of Dynamic Reconfigurable Queues for High Speed Routers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wei Zhang 0012, Niraj K. Jha, Li Shang NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NRAM, logic folding, run-time reconfiguration
19Magnus Ekman, Per Stenström A Cost-Effective Main Memory Organization for Future Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Nghi Nguyen, Angel Dominguez, Rajeev Barua Memory allocation for embedded systems with a compile-time-unknown scratch-pad size. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data linked list, downloadable codes, embedded loading, embedded systems, compiler, memory allocation, scratch-pad
19Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem Near-memory Caching for Improved Energy Consumption. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Sailesh Kumar, Jonathan S. Turner, Patrick Crowley Addressing Queuing Bottlenecks at High Speeds. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Magnus Ekman, Per Stenström A case for multi-level main memory. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory-systems
19Zaid Al-Ars, Ad J. van de Goor Soft Faults and the Importance of Stresses in Memory Testing. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stress application, Fault modeling, memory testing, defect simulation, soft faults
19Yoonseo Choi, Taewhan Kim Memory access driven storage assignment for variables in embedded system design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Mahmut T. Kandemir, Ozcan Ozturk 0001, Mary Jane Irwin, Ibrahim Kolcu Using Data Compression to Increase Energy Savings in Multi-bank Memories. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Martin Ohmacht, Dirk Hoenicke, Ruud A. Haring, Alan Gara The eDRAM based L3-Cache of the BlueGene/L Supercomputer Processor Node. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ganesan Umanesan, Eiji Fujiwara A Class of Random Multiple Bits in a Byte Error Correcting and Single Byte Error Detecting (S_t/b EC-S_bED) Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Random multiple bits in a byte error, single t/b-error correcting—single b-bit byte error detecting (St/bEC-SbED) codes
19Raju Rangaswami, Zoran Dimitrijevic, Edward Y. Chang, Klaus E. Schauser MEMS-based Disk Buffer for Streaming Media Servers. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Sriram Ramabhadran, George Varghese Efficient implementation of a statistics counter architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistics counter, router
19Oren Avissar, Rajeev Barua, Dave Stewart An optimal memory allocation scheme for scratch-pad-based embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded, Memory, heterogeneous, storage, allocation
19Y. Chang, Minsu Choi, Nohpill Park, Fabrizio Lombardi Repairability Evaluation of Embedded Multiple Region DRAMs. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Krishna Kumar Rangan, Philip A. Wilsey, Nilesh Pisolkar, Nael B. Abu-Ghazaleh PPIM-SIM: An Efficient Simulator for a Parallel Processor in Memory. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Thomas L. Sterling An Introduction to the Gilgamesh PIM Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Sadagopan Srinivasan, Vinodh Cuppu, Bruce L. Jacob Transparent data-memory organizations for digital signal processors. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Oren Avissar, Rajeev Barua, Dave Stewart Heterogeneous memory management for embedded systems. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded, memory, heterogeneous, storage
19Ethan L. Miller, Scott A. Brandt, Darrell D. E. Long HeRMES: High-Performance Reliable MRAM-Enabled Storage. Search on Bibsonomy HotOS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Sally A. McKee, William A. Wulf, James H. Aylor, Robert H. Klenke, Maximo H. Salinas, Sung I. Hong, Dee A. B. Weikle Dynamic Access Ordering for Streamed Computations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory systems architecture, memory access ordering, memory access scheduling, memory bandwidth, memory latency
19Valerie Lines, Abdullah Ahmed, Peter Ma, Stanley Ma, Robert McKenzie, Hong-Seok Kim, Cynthia Mar 66MHz 2.3M Ternary Dynamic Content Addressable Memory. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Mark Oskin, Diana Keen, Justin Hensley, Lucian Vlad Lita, Frederic T. Chong Reducing Cost and Tolerating Defects in Page-based Intelligent Memory. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19John Kibarian Ramping New IC Products in the Deep Submicron Age. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Sung I. Hong, Sally A. McKee, Maximo H. Salinas, Robert H. Klenke, James H. Aylor, William A. Wulf Access Order and Effective Bandwidth for Streams on a Direct Rambus Memory. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19G. Jack Lipovski, Clement T. Yu The Dynamic Associative Access Memory Chip and Its Application to SIMD Processing and Full-Text Database Retrieval. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Yi Kang, Wei Huang, Seung-Moon Yoo, Diana Keen, Zhenzhou Ge, Vinh Vi Lam, Josep Torrellas, Pratap Pattnaik FlexRAM: Toward an Advanced Intelligent Memory System. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Jörg E. Vollrath, Markus Huebl, Ernst Stahl Power Analysis of DRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Debaleena Das, Mark G. Karpovsky Exhaustive and Near-Exhaustive Memory Testing Techniques and their BIST Implementations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF exhaustive codes, near-exhaustive codes, built-in self-test, memory testing, pattern sensitive faults
19John J. Fallin The iRAM: an innovative approach to microprocessor memory solutions. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt Prefetch-Aware Memory Controllers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF prefetching, DRAM, Memory systems, memory controllers, multi-core systems
16Benjamin C. Lee, Ping Zhou, Jun Yang 0002, Youtao Zhang, Bo Zhao 0007, Engin Ipek, Onur Mutlu, Doug Burger Phase-Change Technology and the Future of Main Memory. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy efficiency, memory architecture, DRAM, technology scaling, phase-change memory, PCM
16Dong Kim, Kwanhu Bang, Seung-Hwan Ha, Sungroh Yoon, Eui-Young Chung Architecture Exploration of High-Performance PCs with a Solid-State Disk. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dual-port DRAM, North Bridge, direct path, NAND flash memory, Solid-State Disk (SSD)
16Paul Vincent Mejia, Rajeevan Amirtharajah, Matthew K. Farrens, Venkatesh Akella Performance Evaluation of a Multicore System with Optically Connected Memory Modules. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF OC-DIMM, DWDM, Performance Evaluation, DRAM, Optics
16Mohd Wajid, S. B. Shashank Architecture for Faster RAM Controller Design with Inbuilt Memory. Search on Bibsonomy CICSyN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, VLSI, Memory, DRAM
16Wei Zhang 0032, Ki Chul Chun, Chris H. Kim Variation aware performance analysis of gain cell embedded DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bitline delay, gain cell, process variation, monte carlo simulation, embedded DRAM
16Howard David, Eugene Gorbatov, Ulf R. Hanebutte, Rahul Khanna, Christian Le RAPL: memory power estimation and capping. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF measurements, power, DRAM memory
16Yossi Azar, Uriel Feige, Iftah Gamzu, Thomas Moscibroda, Prasad Raghavendra Buffer management for colored packets with deadlines. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dram scheduling, online algorithms, buffer management, packets scheduling, earliest deadline first
16Ozcan Ozturk 0001, Mahmut T. Kandemir ILP-Based energy minimization techniques for banked memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power operating modes, compilers, data compression, replication, migration, DRAM, Memory banking
16Kiyoo Itoh 0001, Masanao Yamaoka, Takayuki Kawahara Low-voltage limitations of deep-sub-100-nm CMOS LSIs: view of memory designers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FD-SOI, VT variation, bulk, deep-sub-100-nm CMOS LSIs, minimum VDD, speed variation, leakage, SRAM, DRAM, logic gate
16Christophe Lécuyer, David C. Brock Biographies. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gordon Moore, semiconductor industry, silicon technology, silicon device manufacture, Fairchild Semiconductor, Shockley Semiconductor, microprocessor, integrated circuit, DRAM, personal computer, chemistry, Moore's law, Intel
16Annie (Yujuan) Zeng, James (JianQiang) Lü, Kenneth Rose, Ronald J. Gutmann First-Order Performance Prediction of Cache Memory with Wafer-Level3D Integration. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wafer-level 3D integration, SRAM, DRAM, cache performance, Access time, cycle time
16Christianto C. Liu, Ilya Ganusov, Martin Burtscher, Sandip Tiwari Bridging the Processor-Memory Performance Gapwith 3D IC Technology. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF three-dimensional integration 3-D ICs microprocessor cache design stream prefetching embedded DRAM
16Ozcan Ozturk 0001, Mahmut T. Kandemir Integer linear programming based energy optimization for banked DRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, data compression, DRAM, ILP, data migration, memory banking
16Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir Energy management schemes for memory-resident database systems. Search on Bibsonomy CIKM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware energy scheme, multiquery optimization, query-directed energy management, database, energy, power consumption, DRAM
16Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir Reducing energy consumption of queries in memory-resident database systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware schemes, query-directed energy management, database, mapping, query optimization, energy, power consumption, layouts, DRAM
16Diana Keen, Mark Oskin, Justin Hensley, Frederic T. Chong Cache Coherence in Intelligent Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Intelligent memory, merged DRAM logic, cache coherence
16Jörg E. Vollrath Output Timing Measurement Using an Idd Method. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DDR, timing, DRAM
16Jörg E. Vollrath Signal Margin Analysis for Memory Sense Amplifiers . Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Signal margin, Test, Memory, Diagnosis, DRAM
16Zaid Al-Ars, Ad J. van de Goor, Jens Braun, Detlev Richter A Memory Specific Notation for Fault Modeling. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory specific fault analysis, memory testing, DRAM, functional fault models, fault primitives
16Zemo Yang, Samiha Mourad Crosstalk in Deep Submicron DRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Noise and Submicron, Crosstalk, DRAM
16J. Bruce Millar, Peter Gillingham Two High-Bandwidth Memory Bus Structures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SLDRAM, Direct Rambus, DRAM, memory design
16David L. Landis, Paul T. Hulina, Scott Deno, Luke Roth, Lee D. Coraor Evaluation of Computing in Memory Architectures for Digital Image Processing Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Computing In Memory, FPGA, Image Processing, Parallel Processing, Multiprocessor, System-On-A-Chip, DRAM
16Stephen J. Walsh, John A. Board Pollution control caching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips
16Manoj Franklin, Kewal K. Saluja Hypergraph Coloring and Reconfigured RAM Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hypergraph coloring, reconfigured RAM testing, RAM decoders, critical path lengths, memory chips, physical neighborhood pattern sensitive faults, reconfigured DRAMs, decoder faults, computational complexity, logic testing, redundancy, reconfigurable architectures, stuck-at faults, graph colouring, random-access storage, integrated memory circuits, test lengths, test algorithms, DRAM chips, silicon area
16Charles H. Stapper Improved Yield Models for Fault-Tolerant Memory Chips. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF fault-tolerant memory chips, defect-monitor data, redundant circuits, failure mechanisms, multivariate distributions, dynamic-random-access-memory, pragmatic approximation, fault tolerant computing, redundancy, DRAM chips, yield modeling, frequency distributions
16Charles H. Stapper, Hsing-San Lee Synergistic Fault-Tolerance for Memory Chips. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bitline redundancy, memory chips, synergistic fault tolerance, VLSI memory chip, redundant circuits, wordline redundancy, fault-tolerance synergism, VLSI, fault tolerant computing, error-correcting codes, error correction codes, error-correction, DRAM chips
13Leonid Yavits DRAMA: Commodity DRAM Based Content Addressable Memory. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Zuher Jahshan, Leonid Yavits MajorK: Majority Based kmer Matching in Commodity DRAM. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13H. D. Kallinatha, Sadhana Rai, Basavaraj Talawar A Detailed Study of SOT-MRAM as an Alternative to DRAM Primary Memory in Multi-Core Environment. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jun Hui Park, Jung Nam Kim, Seonhaeng Lee, Gang-Jun Kim, Namhyun Lee, Rock-Hyun Baek, Dae Hwan Kim, Changhyun Kim, Myounggon Kang, Yoon Kim Current-Voltage Modeling of DRAM Cell Transistor Using Genetic Algorithm and Deep Learning. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Hyunseo You, Kihoon Nam, Jehyun An, Chanyang Park, Donghyun Kim, Seonhaeng Lee, Namhyun Lee, Rock-Hyun Baek Cryogenic Body Bias Effect in DRAM Peripheral and Buried-Channel-Array Transistor for Quantum Computing Applications. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13João Vieira, Nuno Roma, Gabriel Falcão 0001, Pedro Tomás NDPmulator: Enabling Full-System Simulation for Near-Data Accelerators From Caches to DRAM. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Wenqi Wang, Sang Don Yi, Fu Li, Qingchen Cao, Jiangliu Shi, Bok-Moon Kang, Meichen Jin, Chang Liu, Zhenhua Wu, Guilei Wang, Chao Zhao Vertical Surrounding Gate Transistor for High Density and Low Voltage Operation in DRAM. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Sangjin Kim, Hoi-Jun Yoo An Overview of Computing-in-Memory Circuits With DRAM and NVM. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Irina Alam, Puneet Gupta 0001 Achieving DRAM-Like PCM by Trading Off Capacity for Latency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Junpeng Wang, Mengke Ge, Bo Ding, Qi Xu, Song Chen 0001, Yi Kang NicePIM: Design Space Exploration for Processing-In-Memory DNN Accelerators With 3-D Stacked-DRAM. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Shailja Pandey, Lokesh Siddhu, Preeti Ranjan Panda NeuroCool: Dynamic Thermal Management of 3D DRAM for Deep Neural Networks through Customized Prefetching. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Mike Hamburg, Eric Linstadt, Danny Moore, Thomas Vogelsang Unraveling codes: fast, robust, beyond-bound error correction for DRAM. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jeongmin Hong, Sungjun Cho, Geonwoo Park, Wonhyuk Yang, Young-Ho Gong, Gwangsun Kim Bandwidth-Effective DRAM Cache for GPUs with Storage-Class Memory. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Abdullah Giray Yaglikçi, Yahya Can Tugrul, Geraldo F. Oliveira, Ismail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Processing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Antonio Savino, Gautam Gala, Marcello Cinque, Gerhard Fohler Multicore DRAM Bank-& Row-Conflict Bomb for Timing Attacks in Mixed-Criticality Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Minesh Patel, Taha Shahroodi, Aditya Manglik, Abdullah Giray Yaglikçi, Ataberk Olgun, Haocong Luo, Onur Mutlu Rethinking the Producer-Consumer Relationship in Modern DRAM-Based Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ismail Emir Yuksel, Yahya Can Tugrul, Ataberk Olgun, F. Nisa Bostanci, Abdullah Giray Yaglikçi, Geraldo F. Oliveira, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Enas E. Abulibdeh, Leen Younes, Baker Mohammad, Khaled Humood, Hani H. Saleh, Mahmoud Al-Qutayri DRAM-Based PUF Utilizing the Variation of Adjacent Cells. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yunhong Ji, Wentao Huang, Xuan Zhou HeterMM: applying in-DRAM index to heterogeneous memory-based key-value stores. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Abdullah Giray Yaglikçi, Yahya Can Tugrul, Geraldo F. Oliveira, Ismail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jaeyoon Lee, Wonyeong Jung, Dongwhee Kim, Daero Kim, Junseung Lee, Jungrae Kim Agile-DRAM: Agile Trade-Offs in Memory Capacity, Latency, and Energy for Data Centers. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ismail Emir Yüksel, Yahya Can Tugrul, Ataberk Olgun, F. Nisa Bostanci, Abdullah Giray Yaglikçi, Geraldo F. Oliveira, Haocong Luo, Juan Gómez-Luna, Mohammad Sadrosadati, Onur Mutlu Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jeongmin Hong, Sungjun Cho, Geonwoo Park, Wonhyuk Yang, Young-Ho Gong, Gwangsun Kim Bandwidth-Effective DRAM Cache for GPU s with Storage-Class Memory. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yubo Liu, Yuxin Ren 0001, Mingrui Liu, Hongbo Li, Hanjun Guo, Xie Miao, Xinwei Hu, Haibo Chen 0001 Optimizing File Systems on Heterogeneous Memory by Integrating DRAM Cache with Virtual Memory Management. Search on Bibsonomy FAST The full citation details ... 2024 DBLP  BibTeX  RDF
13Tae Eun Jang, Kyu Hyun Lee, Gi Yeol Kim, Su Yeon Yun, Da-Hyeon Youn, Hyunggu Choi, Jihyang Kim, Soo Youn Kim, Minkyu Song Compute-in-Memory with SAR ADC and 2T1C DRAM for MAC Operations. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ho-Sung Lee, Joo-Hyung Chae A 1-Kb 6T 1C XNOR-DRAM Compute-In-Memory Macro With Signed Bit Adder Block for CNN Operations. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Junil Kim, Seok Young Kim, Seon Wook Kim Supporting Multi-Channels to DRAM-based PIM Execution for Boosting the Performance. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Sung-Yong Cho, Moon-Chul Choi, Jaehyeok Baek, Donggun An, Sanghoon Kim, Daewoong Lee, Seongyeal Yang, Gil-Young Kang, Juseop Park, Kyungho Lee, Hwan-Chul Jung, Gun-hee Cho, ChanYong Lee, Hye-Ran Kim, Yong-Jae Shin, Hanna Park, Sangyong Lee, Jonghyuk Kim, Bokyeon Won, Jungil Mok, Kijin Kim, Unhak Lim, Hong-Jun Jin, YoungSeok Lee, Young-Tae Kim, Heonjoo Ha, Jinchan Ahn, Wonju Sung, Yoontaek Jang, Hoyoung Song, Hyodong Ban, TaeHoon Park, Tae-Young Oh, Changsik Yoo, SangJoon Hwang 13.6 A 16Gb 37Gb/s GDDR7 DRAM with PAM3-Optimized TRX Equalization and ZQ Calibration. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13IkJoon Choi, Seunghwan Hong, Kihyun Kim, Jeongsik Hwang, Seunghan Woo, Young-Sang Kim, Cheongryong Cho, Eun-Young Lee, Hun-Jae Lee, Min-Su Jung, Hee-Yun Jung, Ju-Seong Hwang, Junsub Yoon, Wonmook Lim, Hyeong-Jin Yoo, Won-Ki Lee, Jung-Kyun Oh, Dong-Su Lee, Jong-Eun Lee, Jun-Hyung Kim, Young-Kwan Kim, Su-Jin Park, Byung-Kyu Ho, Byongwook Na, Hye-In Choi, Chung-Ki Lee, Soo-Jung Lee, Hyunsung Shin, Young-Kyu Lee, Jang-Woo Ryu, Sangwoong Shin, Sungchul Park, Daihyun Lim, Seung-Jun Bae, Young-Soo Sohn, Tae-Young Oh, SangJoon Hwang 13.2 A 32Gb 8.0Gb/s/pin DDR5 SDRAM with a Symmetric-Mosaic Architecture in a 5th-Generation 10nm DRAM Process. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Jinhyung Lee, Kyungjun Cho, Chang Kwon Lee, Yeonho Lee 0002, Jae-Hyung Park, Su-Hyun Oh, Yucheon Ju, Chunseok Jeong, Ho Sung Cho, Jaeseung Lee, Tae-Sik Yun, Jin Hee Cho, Sangmuk Oh, Junil Moon, Young-Jun Park, Hong-Seok Choi, In-Keun Kim, Seung Min Yang, Sun-Yeol Kim, Jaemin Jang, Jinwook Kim, Seong-Hee Lee, Younghyun Jeon, Juhyung Park, Tae-Kyun Kim, Dongyoon Ka, Sanghoon Oh, Jinse Kim, Junyeol Jeon, Seonhong Kim, Kyeong Tae Kim, Taeho Kim, Hyeonjin Yang, Dongju Yang, Minseop Lee, Heewoong Song, Dongwook Jang, Junghyun Shin, Hyunsik Kim, Chang-Ki Baek, Hajun Jeong, Jongchan Yoon, Seung-Kyun Lim, Kyo Yun Lee, Young Jun Koo, Myeong-Jae Park, Joohwan Cho, Jonghwan Kim 13.4 A 48GB 16-High 1280GB/s HBM3E DRAM with All-Around Power TSV and a 6-Phase RDQS Scheme for TSV Area Optimization. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Yangho Seo, Jihee Choi, Sunki Cho, Hyunwook Han, Wonjong Kim, Gyeongha Ryu, Jungil Ahn, Younga Cho, Sungphil Choi, Seohee Lee, Wooju Lee, Chaehyuk Lee, Kiup Kim, Seongseop Lee, Sangbeom Park, Minjun Choi, Sungwoo Lee, Mino Kim, Taekyun Shin, Hyeongsoo Jeong, Hyunseung Kim, Houk Song, Yunsuk Hong, Seokju Yoon, Giwook Park, Hokeun You, Changkyu Choi, Hae-Kang Jung, Joohwan Cho, Jonghwan Kim 13.8 A 1a-nm 1.05V 10.5Gb/s/pin 16Gb LPDDR5 Turbo DRAM with WCK Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Xingsheng Zhao, Prajwal Challa, Chen Zhong, Song Jiang 0001 Developing Index Structures in Persistent Memory Using Spot-on Optimizations with DRAM. Search on Bibsonomy ICPE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license