The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
32Kuen Hung Tsoi, Wayne Luk Axel: a heterogeneous cluster with FPGAs and GPUs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, heterogeneous cluster
32Amir Masoud Gharehbaghi, Bijan Alizadeh, Masahiro Fujita Aggressive overclocking support using a novel timing error recovery technique on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF overclocking, timing error detection, timing error recovery, fpga
32Arpith C. Jacob, Jeremy D. Buhler, Roger D. Chamberlain Design space exploration of throughput-optimized arrays from recurrence abstractions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, dynamic programming, systolic array, throughput optimization, recurrences
32Viktor Pus, Jan Korenek Fast and scalable packet classification using perfect hash functions. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, sram, packet classification
32Süleyman Sirri Demirsoy, Martin Langhammer Cholesky decomposition using fused datapath synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cholesky, fused datapath synthesis, fpga, floating-point
32Andrew C. Ling, Stephen Dean Brown, Jianwen Zhu, Sean Safarpour Towards automated ECOs in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pst, optimization, fpga, boolean satisfiability, resynthesis
32Dirk Koch, Christian Beckhoff, Jürgen Teich A communication architecture for complex runtime reconfigurable systems and its implementation on spartan-3 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, reconfiguration, communication architecture
32David Sheldon, Frank Vahid Making good points: application-specific pareto-point generation for design space exploration using statistical methods. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable platform, fpga, low-power, exploration, speedup, pruning, pareto-optimal, design of experiments
32Abhranil Maiti, Patrick Schaumont Impact and compensation of correlated process variation on ring oscillator based puf. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF correlated process variation, physical unclonable function (puf), ring oscillator (ro), fpga
32Jason Helge Anderson Emerging application domains: research challenges and opportunities for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, field-programmable gate array, fpga, applications, high-performance computing, power, reconfigurable computing
32Jean-Baptiste Note, Éric Rannaud From the bitstream to the netlist. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bitstream format, FPGA, reverse-engineering
32Matthew Collin Jordan, Ramachandran Vaidyanathan Configurable decoders with application in fast partial reconfiguration of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, decoder, look-up table, configurable logic
32Maryam Moazeni, Alireza Vahdatpour, Karthik Gururaj, Majid Sarrafzadeh Communication bottleneck in hardware-software partitioning. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, communication, hardware-software codesign
32Atul Mahajan, Benfano Soewito, Sai K. Parsi, Ning Weng, Haibo Wang 0005 Implementing high-speed string matching hardware for network intrusion detection systems. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, string matching, network intrusion detection systems
32Michael Pellauer, Muralidaran Vijayaraghavan, Michael Adler, Arvind, Joel S. Emer A-Ports: an efficient abstraction for cycle-accurate performance models on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, FPGA, prototyping, performance models, emulation
32Kai Zhu 0001 Post-route LUT output polarity selection for timing optimization. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimization, timing, polarity, FPGA lookup table
32Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton Improvements to technology mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area recovery, cut enumeration, lossless synthesis, FPGA, technology mapping
32Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Application-specific customization of soft processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nios, RTL generation, SPREE, FPGA, customization, embedded processor, ASIP, microarchitecture, application specific, soft processor
32Peter A. Milder, Mohammad Ahmad, James C. Hoe, Markus Püschel Fast and accurate resource estimation of automatically generated custom DFT IP cores. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA resource estimation, design generator, IP, discrete fourier transform
32Ling Zhuo, Viktor K. Prasanna Sparse Matrix-Vector multiplication on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, reconfigurable architecture, high performance, floating-point, sparse matrix
32Mike Hutton, David Karchmer, Bryan Archell, Jason Govig Efficient static timing analysis and applications using edge masks. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cut-path, multicycle, thru-x, FPGA, placement, timing analysis
32Gang Chen 0020, Jason Cong Simultaneous timing-driven placement and duplication. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic duplication, FPGA, legalization, timing-driven placement, redundancy removal
32Paul Metzgen A high performance 32-bit ALU for programmable logic. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ALU, Apex 20KE, Nios, FPGA, programmable logic, soft processors
32Seonil Choi, Ronald Scrofano, Viktor K. Prasanna, Ju-wook Jang Energy-efficient signal processing using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF energy efficient design techniques, matrix multiplicaiton, FPGA, FFT, performance estimation
32Kimmo U. Järvinen, Matti Tommiska, Jorma Skyttä A fully pipelined memoryless 17.8 Gbps AES-128 encryptor. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipelining, advanced encryption standard (AES)
32Prasanna Sundararajan, Steve Guccione Run-Time defect tolerance using JBits. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java, FPGA, cores, defect tolerance, run-time reconfiguration
32John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Efficiently Supporting Fault-Tolerance in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
32Mingjie Lin, Ilia A. Lebedev, John Wawrzynek High-throughput bayesian computing machine with reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable hardware, bayesian computing
32Florian Dittmann 0001, Elmar Weber, Norma Montealegre Implementation of the reconfiguration port scheduling on the erlangen slot machine. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF erlangen slot machine, scheduling, fpgas, reconfiguration
32Taneem Ahmed, Paul D. Kundarewich, Jason Helge Anderson, Brad L. Taylor, Rajat Aggarwal Architecture-specific packing for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, performance, FPGAs, field-programmable gate arrays, power, placement, packing
32Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32John H. Kelm, Steven S. Lumetta HybridOS: runtime support for reconfigurable accelerators. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CPU/accelerator architecture, operating system, reconfigurable computing
32Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: an active glitch minimization technique for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, power minimization
32Mohammed Y. Niamat, Dinesh Nemade, Mohsin M. Jamali Testing embedded RAM modules in SRAM-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Young H. Cho, James Moscola, John W. Lockwood Context-free-grammar based token tagger in reconfigurable devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Oliver Sims, James Irvine 0001 A real-time implementation of Richardson-Lucy deconvolution. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ian Kuon, Aaron Egier, Jonathan Rose Transistor grouping and metal layer trade-offs in automatic tile layout of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32John Teifel, Rajit Manohar Highly pipelined asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF concurrency, pipelining, asynchronous circuits, programmable logic, correctness by construction
32Vinay Verma, Shantanu Dutt Roving testing using new built-in-self-tester designs for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Deshanand P. Singh, Stephen Dean Brown The case for registered routing switches in field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32César Torres-Huitzil, Miguel O. Arias-Estrada An FPGA Architecture for High Speed Edge and Corner Detection. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA resources utilization, computer vision, computer vision, edge detection, architecture design, corner detection, high speed, FPGA architecture
32Maya B. Gokhale, Janice M. Stone, Jeffrey M. Arnold, Mirek Kalinowski Stream-Oriented FPGA Computing in the Streams-C High Level Language. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA design tools, FPGA, high-level synthesis, configurable computing, hardware-software co-design, silicon compiler
32Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen An iterative area/performance trade-off algorithm for LUT-based FPGA technology mapping. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF LUT-Based FPGA Technology Mapping, Area/Performance Trade-Off and Timing Driven FPGA Synthesis
32Yong Dou, Yuanwu Lei, Guiming Wu, Song Guo 0003, Jie Zhou 0007, Li Shen FPGA accelerating double/quad-double high precision floating-point applications for ExaScale computing. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double-double precision, high precision floating-point multiplication and accumulation (HP-MAC), quad-double precision, FPGA
32Ming Liu 0022, Haigang Yang, Sansiri Tanachutiwat, Wei Wang 0003 Carbon Nanotube Nanorelays with Pass-Transistor for FPGA Routing Devices. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF carbon nanorelay, nanoelectromechanical switch, CMOS-nano hybrid, FPGA, carbon nanotube
32JingXia Wang, Sin Ming Loo Case study of finite resource optimization in FPGA using genetic algorithm. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithm, scheduling, FPGA, resource utilization
32Yong Dou, Fei Xia, Jingfei Jiang Fine-grained parallel application specific computing for RNA secondary structure prediction using SCFGS on FPGA. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SCFGS, reconfigurable algorithm accelerator, secondary structure prediction, FPGA, RNA
32Sol Pedre, Andres Stoliar, Patricia Borensztejn Real Time Hot Spot Detection Using FPGA. Search on Bibsonomy CIARP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hot spot detection, FPGA, remote sensing, embedded computing, real time image processing
32Abner Corrêa Barros, Victor Wanderley Costa de Medeiros, Viviane Lucy Santos de Souza, Paulo Sérgio Brandão do Nascimento, Ângelo Mazer, João Paulo Fernandes Barbosa, Bruno P. Neves, Ismael Santos 0001, Manoel Eusébio de Lima Implementation of a double-precision multiplier accumulator with exception treatment to a dense matrix multiplier module in FPGA. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, scientific computing, floating-point, HPC
32Helano Castro, Alexandre Augusto Coelho, Ricardo Jardel Silveira Fault-tolerance in FPGA's through CRC voting. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cyclic redundancy check, fault tolerance, FPGA, partial reconfiguration
32Maizura Mokhtar, David M. Halliday, Andy M. Tyrrell Hippocampus-Inspired Spiking Neural Network on FPGA. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bio-inspired Hardware, FPGA, Spiking Neural Network
32Greg Stitt, Gaurav Chaudhari, James Coole Traversal caches: a first step towards FPGA acceleration of pointer-based data structures. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF traversal cache, fpga, synthesis, hardware/software partitioning, pointers, cad
32Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia Hybrid CMOS-STTRAM non-volatile FPGA: design challenges and optimization approaches. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF STTRAM, emerging memory technologies, nonvolatile FPGA
32Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose VESPA: portable, scalable, and flexible FPGA-based vector processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPREE, VESPA, VIRAM, FPGA, custom, SIMD, vector, ASIP, microarchitecture, application specific, soft processor
32Sumanta Chaudhuri, Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Jean-Luc Danger An 8x8 run-time reconfigurable FPGA embedded in a SoC. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, RTR
32Yan Lin Aung, Douglas L. Maskell, Timothy F. Oliver, Bertil Schmidt, William Bong C-Based Design Methodology for FPGA Implementation of ClustalW MSA. Search on Bibsonomy PRIB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ClustalW, FPGA, multiple sequence alignment, sequence analysis
32Thinh Ngoc Tran, Surin Kittitornkun FPGA-Based Cuckoo Hashing for Pattern Matching in NIDS/NIPS. Search on Bibsonomy APNOMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NIPS, FPGA, Pattern Matching, NIDS, Cuckoo Hashing
32Paulo Sérgio B. do Nascimento, Manoel Eusébio de Lima, Stelita M. da Silva, Jordana L. Seixas Mapping of image processing systems to FPGA computer based on temporal partitioning and design space exploration. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA-computers, area-time trade-offs, temporal partitioning techniques, image processing, design space exploration
32Georges Nabaa, Navid Azizi, Farid N. Najm An adaptive FPGA architecture with process variation compensation and reduced leakage. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, process variations, leakage, body-biasing
32Brendan P. Glackin, T. Martin McGinnity, Liam P. Maguire, Qingxiang Wu, Ammar Belatreche A Novel Approach for the Implementation of Large Scale Spiking Neural Networks on FPGA Hardware. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SNN, I&F, FPGA, Hardware
32Young-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D FPGA, wire resource prediction
32Chris Dick, Fred Harris 0001, Michael Rice FPGA Implementation of Carrier Synchronization for QAM Receivers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF carrier recovery, system generator, FPGA, synchronization, wireless communication, software define radio, CORDIC, QAM
32Adarsh K. Jain, Lin Yuan, Pushkin R. Pari, Gang Qu 0001 Zero overhead watermarking technique for FPGA designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF configuration bitstream, timing analyzer, user constraint file, zero overhead, performance, FPGA, place and route, IP protection
32Javier Valls, Martin Kuhlmann, Keshab K. Parhi Evaluation of CORDIC Algorithms for FPGA Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, CORDIC, redundant arithmetic, Two's complement
32Anna Antola, Mariagiovanna Sami, Vincenzo Piuri On-line Diagnosis and Reconfiguration of FPGA Systems. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-line detection, fault tolerance, FPGA, reconfiguration, diagnosis
32Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian A Discussion on Test Pattern Generation for FPGA - Implemented Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG
32Wei-Je Huang, Subhasish Mitra, Edward J. McCluskey Fast Run-Time Fault Location in Dependable FPGA-Based Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Run-time fault location, Field-Programmable Gate Array (FPGA), concurrent error detection, on-line testing
32Hojin Kee, Newton Petersen, Jacob Kornerup, Shuvra S. Bhattacharyya Systematic generation of FPGA-based FFT implementations. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Chao You, Jong-Ru Guo, Russell P. Kraft, Michael Chu, Bryan S. Goda, John F. McDonald 0001 A 12-Gb/s DEMUX Implemented With SiGe High-Speed FPGA Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Kushal Datta, Ron Sass RBoot: Software Infrastructure for a Remote FPGA Laboratory. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Wei-Kei Mak, C.-L. Lai On Constrained Pin-Mapping for FPGA-PCB Codesign. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Narashiman Chakravarthy, Jizhong Xiao FPGA-based Control System for Miniature Robots. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Fritz Mayer-Lindenberg Design and Application of a Scalable Embedded Systems' Architecture with an FPGA Based Operating Infrastucture. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Evangelia Kassapaki, Pavlos M. Mattheakis, Christos P. Sotiriou Actual-Delay Circuits on FPGA: Trading-Off Luts for Speed. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Hayden Kwok-Hay So, Robert W. Brodersen Improving Usability of FPGA-Based Reconfigurable Computers Through Operating System Support. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ángel Quirós-Olozábal, Ma de los Ángeles Cifredo Chacón, Diego Gomez Vela FPGA-Based Boundary-Scan Bist. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Christian Hinkelbein, Andrei Khomich, Andreas Kugel, Reinhard Männer, Matthias Müller 0006 Using of FPGA Coprocessor for Improving the Execution Speed of the Pattern Recognition Algorithm for ATLAS - High Energy Physics Experiment. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ryan J. Fong, Scott J. Harper, Peter M. Athanas A Versatile Framework for FPGA Field Updates: An Application of Partial Self-Reconfiguation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Mihai Sima, Sorin Cotofana, Stamatis Vassiliadis, Jos T. J. van Eijndhoven, Kees A. Vissers MPEG-Compliant Entropy Decoding on FPGA-Augmented TriMedia/CPU64. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32V. Srinivasan, Sriram Govindarajan, Ranga Vemuri Fine-grained and coarse-grained behavioral partitioning with effective utilization of memory and design space exploration for multi-FPGA architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Parag K. Lala, Alvernon Walker An On-Line Reconfigurable FPGA Architecture. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Brian Von Herzen Signal processing at 250 MHz using high-performance FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Scott Hauck, Gaetano Borriello Pin assignment for multi-FPGA systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Norman Margolus An FPGA architecture for DRAM-based systolic computations. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Steven Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong A time-multiplexed FPGA. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Kenneth M. Zick, John P. Hayes On-line sensing for healthier FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-line sensing, physically-adaptive computing., fpgas, reliability, process variation, leakage, temperature, dynamic power, ring oscillator, static power, health management
31Hidenori Matsubayashi, Shinsuke Nino, Toru Aramaki, Yuichiro Shibata, Kiyoshi Oguri Retrieving 3-d information with FPGA-based stream processing. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF template matching, stream processing, stereo matching
31Steven J. E. Wilton, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Bradley R. Quinton A synthesizable datapath-oriented embedded FPGA fabric. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded block, field programmable gate array, system-on-chip, synthesis, integrated circuit, datapath
31Michael P. Gilroy, James Irvine 0001, William Berrie FPGA based RAID 6 hardware accelerator. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Welson Sun, Michael J. Wirthlin, Stephen Neuendorffer Combining module selection and resource sharing for efficient FPGA pipeline synthesis. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resource sharing, pipeline scheduling, module selection, data-path synthesis
31Yong-Gang Wang, Tian-Xin Yan Design and implementation of packet classification with FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Ankit Mathur, Mayank Agarwal, Soumyadeb Mitra, Anup Gangwar, M. Balakrishnan, Subhashis Banerjee SMPS: an FPGA-based prototyping environment for multiprocessor embedded systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
31Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A leakage-aware CAD flow for MTCMOS FPGA architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Roman Bartosinski, Martin Danek, Petr Honzík, Rudolf Matousek Dynamic reconfiguration in FPGA-based SoC designs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Martin Danek, Josef Kolár FPGA modelling for high-performance algorithms. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Sanghamitra Roy, Debjit Sinha, Prithviraj Banerjee An algorithm for trading off quantization error with hardware resources for MATLAB based FPGA design. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Paul Berube, José Nelson Amaral, Mike H. MacGregor An FPGA prototype for the experimental evaluation of a multizone network cache. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license