The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1994 (30) 1995 (18) 1996 (19) 1997 (25) 1998 (32) 1999 (43) 2000 (50) 2001 (40) 2002 (62) 2003 (68) 2004 (98) 2005 (127) 2006 (147) 2007 (183) 2008 (186) 2009 (145) 2010 (148) 2011 (173) 2012 (181) 2013 (156) 2014 (197) 2015 (163) 2016 (196) 2017 (185) 2018 (163) 2019 (192) 2020 (175) 2021 (192) 2022 (192) 2023 (242) 2024 (52)
Publication types (Num. hits)
article(1429) data(1) incollection(2) inproceedings(2434) phdthesis(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 989 occurrences of 488 keywords

Results
Found 3882 publication records. Showing 3880 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22R. Manoj Kumar, P. V. Sridevi Design of a High Performance 1 Kb SRAM Array Using Proposed Soft Error Hardened 12T SRAM Cell. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Kota Shiba, Mitsuji Okada, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda A 7-nm FinFET 1.2-TB/s/mm2 3D-Stacked SRAM with an Inductive Coupling Interface Using Over-SRAM Coils and Manchester-Encoded Synchronous Transceivers. Search on Bibsonomy HCS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22He Zhang 0011, Linjun Jiang, Jianxin Wu, Tingran Chen, Junzhan Liu, Wang Kang 0001, Weisheng Zhao CP-SRAM: charge-pulsation SRAM marco for ultra-high energy-efficiency computing-in-memory. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Nezam Rohbani, Masoumeh Ebrahimi SRAM Gauge: SRAM Health Monitoring via Cells Race. Search on Bibsonomy ISLPED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Shihui Yin, Zhewei Jiang, Jae-Sun Seo, Mingoo Seok XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Frank Bubenhagen Analysis and Enhancement of a Fault-Tolerant NoC for SRAM-based FPGAs in Space Applications (Analyse und Erweiterung eines fehler-toleranten NoC für SRAM-basierte FPGAs in Weltraumapplikationen) (PDF / PS) Search on Bibsonomy 2020   DOI  RDF
22Avishek Biswas, Anantha P. Chandrakasan CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Zhewei Jiang, Shihui Yin, Jae-sun Seo, Mingoo Seok XNOR-SRAM: In-Bitcell Computing SRAM Macro based on Resistive Computing Mechanism. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Zhi-Wei Lai, Kuen-Jong Lee Using Unstable SRAM Bits for Physical Unclonable Function Applications on Off-The-Shelf SRAM. Search on Bibsonomy APCCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Ihsen Alouani, Wael M. Elsharkasy, Ahmed M. Eltawil, Fadi J. Kurdahi, Smaïl Niar AS8-static random access memory (SRAM): asymmetric SRAM architecture for soft error hardening enhancement. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22T. R. Rajalakshmi, R. Sudhakar 0001 Impact of Single Event Upset on Voltage and Current Behaviors of CNTFET SRAM and a Comparison with CMOS SRAM. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Anuj Grover, G. S. Visweswaran, Chittoor R. Parthasarathy, Mohammad Daud, David Turgis, Bastien Giraud, Jean-Philippe Noel, Ivan Miro Panades, Guillaume Moritz, Edith Beigné, Philippe Flatresse, Promod Kumar, Shamsi Azmi A 32 kb 0.35-1.2 V, 50 MHz-2.5 GHz Bit-Interleaved SRAM With 8 T SRAM Cell and Data Dependent Write Assist in 28-nm UTBB-FDSOI CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Harsh Rawat, K. Bharath, Alexander Fell Asynchronous 1R-1W dual-port SRAM by using single-port SRAM in 28nm UTBB-FDSOI technology. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Ahmed S. Sajit, Michael A. Turi SEU tolerance of FinFET 6T SRAM, 8T SRAM and DICE memory cells. Search on Bibsonomy CCWC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Jinhui Wang, Lina Wang, Haibin Yin, Zikui Wei, Zezhong Yang, Na Gong cNV SRAM: CMOS Technology Compatible Non-Volatile SRAM Based Ultra-Low Leakage Energy Hybrid Memory System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Ebrahim Abiri, Abdolreza Darabi A novel design of low power and high read stability Ternary SRAM (T-SRAM), memory based on the modified Gate Diffusion Input (m-GDI) method in nanotechnology. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Ali Asghar, Muhammad Mazher Iqbal, Waqar Ahmed, Mujahid Ali, Husain Parvez, Muhammad Rashid Exploring shared SRAM tables among NPN equivalent large LUTs in SRAM-based FPGAs. Search on Bibsonomy FPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Pulkit Sharma, R. Anusha, K. Bharath, Jasmine Kaur Gulati, Preet K. Walia, Sumit Jagdish Darak Quantification of figures of merit of 7T and 8T SRAM cells in subthreshold region and their comparison with the conventional 6T SRAM cell. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Farid Lahrach Tolérance aux pannes des circuits FPGAs à base de mémoire SRAM. (Fault tolerance of SRAM-based FPGAs circuits). Search on Bibsonomy 2016   RDF
22Arijit Banerjee 0002, Jacob Breiholz, Benton H. Calhoun A 130nm canary SRAM for SRAM dynamic write VMIN tracking across voltage, frequency, and temperature variations. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Zhe Zhang, Michael A. Turi, José G. Delgado-Frias SRAM leakage in CMOS, FinFET and CNTFET technologies: leakage in 8t and 6t sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Ming-Chien Tsai, Yi-Wei Lin, Hao-I Yang, Ming-Hsien Tu, Wei-Chiang Shih, Nan-Chun Lien, Kuen-Di Lee, Shyh-Jye Jou, Ching-Te Chuang, Wei Hwang Embedded SRAM ring oscillator for in-situ measurement of NBTI and PBTI degradation in CMOS 6T SRAM array. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Adam Teman, Lidor Pergament, Omer Cohen, Alexander Fish A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SF-SRAM). Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Tony Tae-Hyoung Kim, Zhi-Hui Kong Impacts of NBTI/PBTI on SRAM VMIN and design techniques for SRAM VMIN improvement. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Yen-Huei Chen, Gary Chan, Shao-Yu Chou, Hsien-Yu Pan, Jui-Jen Wu, Robin Lee, Hung-Jen Liao, Hiroyuki Yamauchi A 0.6 V Dual-Rail Compiler SRAM Design on 45 nm CMOS Technology With Adaptive SRAM Power for Lower VDD_min VLSIs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Aditya Bansal, Rama N. Singh, Rouwaida Kanj, Saibal Mukhopadhyay, Jin-Fuw Lee, Emrah Acar, Amith Singhee, Keunwoo Kim, Ching-Te Chuang, Sani R. Nassif, Fook-Luen Heng, Koushik K. Das Yield estimation of SRAM circuits using "Virtual SRAM Fab". Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Shuu'ichirou Yamamoto, Yusuke Shuto, Satoshi Sugahara Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Mahadevan Gomathisankaran, Akhilesh Tyagi WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Tohru Miwa, Junichi Yamada, Hiroki Koike, Hideo Toyoshima, Kazushi Amanuma, Sota Kobayashi, Toru Tatsumi, Yukihiko Maejima, Hiromitsu Hada, Takemitsu Kunio NV-SRAM: a nonvolatile SRAM with backup ferroelectric capacitors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Tohm Miwa, Junichi Yamada, Hiroki Koike, Hideo Toyoshima, Kazushi Amanuma, Sota Kobayashi, Tom Tatsumi, Yukihiro Maejima, Hiromitsu Hada, Takemitsu Kunio NV-SRAM: a nonvolatile SRAM with back-up ferroelectric capacitors. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Bo-Ting Wang, James B. Kuo A novel two-port 6T CMOS SRAM cell structure for low-voltage VLSI SRAM with single-bit-line simultaneous read-and-write access (SBLSRWA) capability. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Xin He, Jorgen Peddersen, Sri Parameswaran LOP: a novel SRAM-based architecture for low power and high throughput packet classification. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power, packet classification, hardware design
19Egas Henes Neto, Gilson I. Wirth, Fernanda Lima Kastensmidt Mitigating Soft Errors in SRAM Address Decoders Using Built-in Current Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault-tolerance, Reliability, Testing, Built-in tests, Error-checking
19Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin A Design-for-Diagnosis Technique for SRAM Write Drivers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Tadayoshi Enomoto, Yuki Higuchi A low-leakage current power 180-nm CMOS SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hoang Le, Weirong Jiang, Viktor K. Prasanna A SRAM-based Architecture for Trie-based IP Lookup Using FPGA. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Saibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologies. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Michael Wieckowski, Martin Margala A portless SRAM Cell using stunted wordline drivers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Bastien Giraud, Amara Amara A novel 4T asymmetric single-ended SRAM cell in sub-32 nm double gate technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Mark Lysinger, François Jacquet, Mehdi Zamanian, David McClure, Philippe Roche, Naren Sahoo, John Russell A Radiation Hardened Nano-Power 8Mb SRAM in 130nm CMOS. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Rad Hard
19Robert C. Aitken, Sachin Idgunji Worst-case design and margin for embedded SRAM. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hamid R. Zarandi, Seyed Ghassem Miremadi, Costas Argyrides, Dhiraj K. Pradhan Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Xiaoyao Liang, Kerem Turgay, David M. Brooks Architectural power models for SRAM and CAM structures based on hybrid analytical/empirical techniques. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hamid R. Zarandi, Seyed Ghassem Miremadi, Costas Argyrides, Dhiraj K. Pradhan CLB-based Detection and Correction of Bit-flip faults in SRAM-based FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jungseob Lee, Azadeh Davoodi Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Costas Argyrides, Hamid R. Zarandi, Dhiraj K. Pradhan Multiple Upsets Tolerance in SRAM Memory. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Rouwaida Kanj, Rajiv V. Joshi, Jayakumaran Sivagnaname, Jente B. Kuang, Dhruva Acharyya, Tuyet Nguyen, Chandler McDowell, Sani R. Nassif Gate Leakage Effects on Yield and Design Considerations of PD/SOI SRAM Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, Akira Tada, Takashi Ipposhi Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Lava P. Kumar, Baquer Mazhari Optimum Supply Voltages for Minimization of Leakage Currents in SRAM in Stand-by Mode. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Luigi Dilillo, Paul M. Rosinger, Bashir M. Al-Hashimi, Patrick Girard 0001 Minimizing test power in SRAM through reduction of pre-charge activity. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tianzhou Chen, Hu Wei, Lian Yi Microkernel of Embedded Operating System in SRAM. Search on Bibsonomy IWNAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Thomas Janik, Eric Liau, Harald Lorenz, Manfred Menke, Eckehard Plaettner, Joerg Schweden, Helmut Seitz, Esther Vega-Ordonez A 1.8V p(seudo)SRAM using standard 140nm DRAM technology with self adapting clocked standby operation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Melanie Berg Fault Tolerance Implementation within SRAM Based FPGA Design Based upon the Increased Level of Single Event Upset Susceptibility. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Maico Cassel, Fernanda Lima Kastensmidt Evaluating One-Hot Encoding Finite State Machines for SEU Reliability in SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Evelyn Grossar, Michele Stucchi, Karen Maex, Wim Dehaene Statistically Aware SRAM Memory Array Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Toru Asano, Joel Silberman, Sang H. Dhong, Osamu Takahashi, Michael White, Scott R. Cottier, Takaaki Nakazato, Atsushi Kawasumi, Hiroshi Yoshihara Low-Power Design Approach of 11FO4 256-Kbyte Embedded SRAM for the Synergistic Processor Element of a Cell Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 11 fan-out of four, 11FO4, Synergistic Processor Element, private memory, streaming processing, Cell processor, multimedia processing, scratch pad memory
19Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell Delay Fault Testing of Look-Up Tables in SRAM-Based FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF look-up table (LUT), FPGA, test, delay fault
19Fernanda Lima Kastensmidt, Luca Sterpone, Luigi Carro, Matteo Sonza Reorda On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Baosheng Wang, Josh Yang, Yuejian Wu, André Ivanov A retention-aware test power model for embedded SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data retention fault test, multiple embedded SRAMs, test power modeling, test scheduling
19Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001 A novel CLB architecture and circuit packing algorithm for logic-area reduction in SRAM-based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Jente B. Kuang, Hung C. Ngo, Kevin J. Nowka, Jethro C. Law, Rajiv V. Joshi A Low-Overhead Virtual Rail Technique for SRAM Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ramy E. Aly, Mohamed A. Elgamel, Magdy A. Bayoumi Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Yat-Fong Yung, Amine Bermak A PWM DPS with pixel-level reconfigurable 4/8-bit counter/SRAM. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Balkaran S. Gill, Michael Nicolaidis, Christos A. Papachristou Radiation Induced Single-Word Multiple-Bit Upsets Correction in SRAM. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Somsubhra Mondal, Seda Ogrenci Memik Fine-grain leakage optimization in SRAM based FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hierarchical LUT, FPGA, low power, leakage power
19E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001, Narayanan Vijaykrishnan Detecting SEU-Caused Routing Errors in SRAM-Based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complex Logic Blocks, Routing Errors, Vertex Coloring problem, Fault Tolerance, Field Programmable Gate Arrays, Graph Theory, Single Event Upset
19Fernanda Lima Kastensmidt, Gustavo Neuberger, Renato Fernandes Hentschke, Luigi Carro, Ricardo Reis 0001 Designing Fault-Tolerant Techniques for SRAM-Based FPGAs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Stefan Cserveny, Jean-Marc Masgonty, Christian Piguet Noise Margin in Low Power SRAM Cells. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Fatih Kocan, Jason Meyer Logic Modules with Shared SRAM Tables for Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Carolina Selva, Cosimo Torelli, Danilo Rimondi, Rita Zappa, Stefano Corbani, Giovanni Mastrodomenico, Lara Albani A Programmable Built-in Self-Diagnosis for Embedded SRAM. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Fernanda Lima Kastensmidt, Gustavo Neuberger, Luigi Carro, Ricardo Reis 0001 Designing and testing fault-tolerant techniques for SRAM-based FPGAs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
19Hari Ananthan, Aditya Bansal, Kaushik Roy 0001 FinFET SRAM - Device and Circuit Design Considerations. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Navid Azizi, Farid N. Najm An Asymmetric SRAM Cell to Lower Gate Leakage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Ad J. van de Goor, Said Hamdioui, Rob Wadsworth Detecting Faults in the Peripheral Circuits and an Evaluation of SRAM Tests. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address directions, peripheral circuit faults, fault coverage, March tests, data-backgrounds
19Rajiv V. Joshi, Ching-Te Chuang, Samuel K. H. Fung, Fari Assaderaghi, Melanie Sherony, I. Yang, Ghavam V. Shahidi PD/SOI SRAM performance in presence of gate-to-body tunneling current. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Abderrahim Doumar, Hideo Ito Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: a survey. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19L. Kalyan Kumar, Amol J. Mupid, Aditya S. Ramani, V. Kamakoti 0001 A Novel Method for Online In-Place Detection and Location of Multiple Interconnect Faults in SRAM Based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Rei-Fu Huang, Yung-Fa Chou, Cheng-Wen Wu Defect Oriented Fault Analysis for SRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Monica Alderighi, Sergio D'Angelo, Marcello Mancini, Giacomo R. Sechi A Fault Injection Tool for SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Massimo Violante, M. Ceschia, Matteo Sonza Reorda, Alessandro Paccagnella, Paolo Bernardi, Maurizio Rebaudengo, D. Bortolato, M. Bellato, P. Zambolin, A. Candelori Analyzing SEU Effects in SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Li Ding 0002, Pinaki Mazumder The Impact of Bit-Line Coupling and Ground Bounce on CMOS SRAM Performance. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis Designing fault tolerant systems into SRAM-based FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
19Hong-Sik Kim, Sungho Kang 0001 DPSC SRAM Transparent Test Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Bernard Coloma, Patrick Delaunay, Olivier Husson High Speed 15 ns 4 Mbits SRAM for Space Application. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Chris H. Kim, Kaushik Roy 0001 Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19A. Chrisanthopoulos, Y. Tsiatouhas, Angela Arapoyanni, Themistoklis Haniotakis SRAM oriented memory sense amplifier design in 0.18 μm CMOS technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Bernard Coloma, Patrick Delaunay, Olivier Husson High Speed 15 ns 4 Mbits SRAM for Space Application. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Manuel G. Gericota, Gustavo R. Alves, Miguel L. Silva, José M. Ferreira 0001 Active Replication: Towards a Truly SRAM-Based FPGA On-Line Concurrent Testing. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Jayasanker Jayabalan, Juraj Povazanec Integration of SRAM Redundancy into Production Test. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Shobha Singh, Shamsi Azmi, Nutan Aarawal, Penaka Phani, Ansuman Rout Architecture and Design of a High Performance SRAM for SOC Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Chih-Wea Wang, Ruey-Shing Tzeng, Chi-Feng Wu, Chih-Tsun Huang, Cheng-Wen Wu, Shi-Yu Huang, Shyh-Horng Lin, Hsin-Po Wang 0002 A Built-in Self-Test and Self-Diagnosis Scheme for Heterogeneous SRAM Clusters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Michael Meißner, Michael C. Doggett, Urs Kanus, Johannes Hirche Accelerating volume rendering using an on-chip SRAM occupancy map. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Local Interconnect Resources of SRAM-Based FPGA's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VLSI, test, ATPG
19Michel Renovell A Specific Test Methodology for Symmetric SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Christophe Frey, F. Genevaux, C. Issartel, D. Turgis, Jean-Pierre Schoellkopf A Low Voltage Embedded Single Port SRAM Generator in a 0.18µm Standard CMOS Process. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Alfredo Benso, Silvia Chiusano, Giorgio Di Natale, Paolo Prinetto, Monica Lobetti Bodoni A Family of Self-Repair SRAM Cores. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory Self-Repair, Memory BIST, BISR
Displaying result #301 - #400 of 3880 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license