The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Ming-Chung Chen, Ting-Fang Wu An Alternative Chinese Keyboard Layout Design for Single-Digit Typists. Search on Bibsonomy ICCHP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Esra E. Aleisa, Li Lin 0007 For effective facilities planning: layout optimization then simulation, or vice versa? Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Ulrik Brandes, Daniel Fleischer, Thomas Puppe Dynamic Spectral Layout of Small Worlds. Search on Bibsonomy GD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Qinghua Liu, Malgorzata Marek-Sadowska Pre-layout Physical Connectivity Prediction with Application in Clustering-Based Placement. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cristiano Lazzari, Lorena Anghel, Ricardo A. L. Reis On Implementing a Soft Error Hardening Technique by Using an Automatic Layout Generator: Case Study. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jae-Gon Kim, Marc Goetschalckx A Mixed Integer Programming Model for Modifying a Block Layout to Facilitate Smooth Material Flows. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rajeev Murgai Improved Layout-Driven Area-Constrained Timing Optimization by Net Buffering. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mikko Pohja, Petri Vuorimaa CSS Layout Engine for Compound Documents. Search on Bibsonomy LA-WEB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mukesh Ranjan, Wim Verhaegen, Anuradha Agarwal, Hemanth Sampath, Ranga Vemuri, Georges G. E. Gielen Fast, Layout-Inclusive Analog Circuit Synthesis using Pre-Compiled Parasitic-Aware Symbolic Performance Models. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, C.-J. Richard Shi Multiple specifications radio-frequency integrated circuit design with automatic template-driven layout retargeting. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Christian Seybold, Martin Glinz, Silvio Meier, Nancy Merlo-Schett An Effective Layout Adaptation Technique for a Graphical Modeling Tool. Search on Bibsonomy ICSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Maciej J. Ciesielski, Serkan Askar, Samuel Levitin Analytical approach to layout generation of datapath cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Francesca Cesarini, Simone Marinai, Giovanni Soda Retrieval by Layout Similarity of Documents Represented with MXY Trees. Search on Bibsonomy Document Analysis Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Donato Malerba, Floriana Esposito, Oronzo Altamura Adaptive Layout Analysis of Document Images. Search on Bibsonomy ISMIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Thomas Kutzschebauch, Leon Stok Layout Driven Decomposition with Congestion Consideration. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Keith J. Keller, Hiroshi Takahashi, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Ruiqi Tian, Ronggang Yu, Xiaoping Tang, D. F. Wong 0001 On mask layout partitioning for electron projection lithography. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Rituparna Mandal, Dibyendu Goswami, Arup Dash Reducing Library Development Cycle Time through an Optimum Layout Create Flow. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Toshinori Yamada, Nobuaki Fujii, Shuichi Ueno On three-dimensional layout of pyramid networks. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Chingwei Yeh, Yin-Shuin Kang Cell-based layout techniques supporting gate-level voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Ming-Dou Ker, Wen-Yu Lo, Tung-Yang Chen, Howard Tang, S.-S. Chen, Mu-Chun Wang Compact Layout Rule Extraction for Latchup Prevention in a 0.25-?m Shallow-Trench-Isolation Silicided Bulk CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Chingwei Yeh, Yin-Shuin Kang Cell-based layout techniques supporting gate-level voltage scaling for low power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Piotr Berman, Andrew B. Kahng, Devendra Vidhani, Huijuan Wang, Alexander Zelikovsky Optimal phase conflict removal for layout of dark field alternatingphase shifting masks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Mohamed Dessouky, Marie-Minerve Louërat, Jacky Porte Layout-Oriented Synthesis of High Performance Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Fenghao Mu, Christer Svensson A layout-based schematic method for very high-speed CMOS cell design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Jianying Hu, Ramanujan S. Kashi, Gordon T. Wilfong Document Classification Using Layout Analysis. Search on Bibsonomy DEXA Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Fenghao Mu, Christer Svensson Methodology of layout based schematic and its usage in efficient high performance CMOS design. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Bulent Basaran, Kiran Ganesh, Raymond Y. K. Lau, Artour Levin, Miles McCoo, Srinivasan Rangarajan, Naresh Sehgal GeneSys: A Leaf-Cell Layout Synthesis System for GHz VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Pradip K. Kar, Subir K. Roy TECHMIG: A Layout Tool for Technology Migration. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Toyohide Watanabe, Xiaoou Huang Automatic Acquisition of Layout Knowledge for Understanding Business Cards. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Chiu-sing Choy, Tsz-Shing Cheung, Kam-Keung Wong Incremental layout placement modification algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Eser Kandogan, Ben Shneiderman Elastic windows: improved spatial layout and rapid multiple window operations. Search on Bibsonomy AVI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF elastic windows, multi-window operations, personal role manager, CAD, programming environment, window manager, task switching
26Per Andersson, Lars H. Philipson Interaction semantics of a symbolic layout editor for parameterized modules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Lawrence L. Larmore, Daniel D. Gajski, Allen C.-H. Wu Layout placement for sliced architecture. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26K. P. Ta, T. C. Tan Layout Algorithms for DFD Processors. Search on Bibsonomy SEKE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26Renato Capra 3D Layout Manipulation Functions with a Glance to Optimization Problems. Search on Bibsonomy APL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF APL
26Bradley S. Carlson, C. Y. Roger Chen, Uminder Singh Optimal cell generation for dual independent layout styles. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
26Robert L. Maziasz, John P. Hayes Layout optimization of static CMOS functional cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26William W. Pugh, Grant E. Weddell Two-Directional Record Layout for Multiple Inheritance. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Magdy S. Abadir, Jack Ferguson An improved layout verification algorithm (LAVA). Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Hong Cai, Ralph H. J. M. Otten Conflict-free channel definition in building-block layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26David Marple Transistor Size Optimization in the Tailor Layout System. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Ichiang Lin, David Hung-Chang Du, Steve H.-C. Yen Gate Matrix Layout Synthesis with Two-Dimensional Folding. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26M. T. Trick, Stephen W. Director LASSIE: Structure to Layout for Behavioral Synthesis Tools. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26David Marple, Michiel Smulders, Henk Hegen An Efficient Compactor for 45° Layout. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
26Y.-C. Chang, S. C. Chang, L.-H. Hsu Automated Layout Generation Using Gate Matrix Approach. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
26Edward J. DeJesus, James P. Callan, Curtis R. Whitehead PEARL: an expert system for power supply layout. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Michelangelo Ceci, Margherita Berardi, G. Porcelli, Donato Malerba A Data Mining Approach to Reading Order Detection. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26I. Scott MacKenzie, Janet C. Read Using paper mockups for evaluating soft keyboard layouts. Search on Bibsonomy CASCON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Lihong Zhang, Ulrich Kleine, Yingtao Jiang An automated design tool for analog layouts. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Amit Kumar 0022, Noriyuki Miura, Muhammad Muqsith, Tadahiro Kuroda Active Crosstalk Cancel for High-Density Inductive Inter-chip Wireless Communication. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Martyn Taylor, Peter Rodgers 0001 Applying Graphical Design Techniques to Graph Visualisation. Search on Bibsonomy IV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Peter Becker 0002 Using Intermediate Representation Systems to Interact with Concept Lattices. Search on Bibsonomy ICFCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Sarit Kraus, Alexander Kröner, Lea Tsaban IMAP - Intelligent Multimedia Authoring Tools for Electronic Publishing. Search on Bibsonomy AH The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Siu-Cheung Chau, Ada Wai-Chee Fu A Gracefully Degradable Declustered RAID Architecture with near Optimal Maximal Read and Write Parallelism. Search on Bibsonomy CLUSTER The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Declustered RAID, Fault-Tolerant, RAID, Disk Arrays
26Takeshi Tokuda, Jiro Korematsu, Yukihiko Shimazu, Narumi Sakashita, Tohru Kengaku, Toshiki Fugiyama, Takio Ohno, Osamu Tomisawa A macrocell approach for VLSI processor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Windsor W. Hsu, Alan Jay Smith, Honesty C. Young The automatic improvement of locality in storage systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF block layout, defragmentation, disk technology trends, locality improvement, prefetching, data reorganization, data restructuring, Data layout optimization
24Avaneendra Gupta, John P. Hayes Width minimization of two-dimensional CMOS cells using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF leaf cell synthesis, two-dimensional layout, diffusion sharing, transistor chains, CMOS networks, Layout optimization, module generation
24Prathima Agrawal, Balakrishnan Narendran, Narayanan Shivakumar Multi-way partitioning of VLSI circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multi-way partitioning, hierarchical design processes, nets cut metric, VLSI, delays, economics, logic CAD, VLSI layout, integrated circuit layout, VLSI circuits, logic partitioning, minimisation of switching nets, average delay, integrated circuit manufacture, cost metric
24Parthasarathi Dasgupta, Anup K. Sen, Subhas C. Nandy, Bhargab B. Bhattacharya Geometric bipartitioning problem and its applications to VLSI. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF geometric bipartitioning problem, layout design, rectilinear modules, staircase, monotone increasing, classical graph bisection problem, weighted permutation graph, integer edge weights, designated nodes, absolute value, edge weights, routing, computational complexity, VLSI, VLSI, graph theory, NP-complete, branch-and-bound, floorplan, heuristic algorithm, search problems, geometry, network routing, circuit layout CAD, hierarchical decomposition
24Alexander Dalal, Lavi Lev, Sundari Mitra Design of an efficient power distribution network for the UltraSPARC-I microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer power supplies, UltraSPARC-I, simulation method, exact layout locations, excessive voltage drop, floorplanning constraints, power interconnections, reduced time-to-market, circuit analysis computing, circuit layout CAD, microprocessor chips, electromigration, CAD tools, power distribution network
23Mona Haraty, Syavash Nobarany, Steve DiPaola, Brian D. Fisher AdWiL: adaptive windows layout manager. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF creativity, layout, visual analytics, flow, windows management
23Rintaro Miyazaki, Ryo Momose, Hideyuki Shibuki, Tatsunori Mori Using web page layout for extraction of sender names. Search on Bibsonomy IUCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sender name, web page layout, natural language processing, information credibility
23Daniele Rossi 0001, André K. Nieuwland, Cecilia Metra Simultaneous Switching Noise: The Relation between Bus Layout and Coding. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus layout, switching patterns, system reliability, IC, power supply network, simultaneous switching noise, coding techniques
23Koichi Hamamoto, Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout, body bias
23Krishnan Sundaresan, Nihar R. Mahapatra Interconnect Signaling and Layout Optimization to Manage Thermal Effects Due to Self Heating in On-Chip Signal Buses. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus Energy, Self Heating, Wire Permutation, Optimization, Interconnect, Layout, Temperature, On-Chip Bus
23Edward W. Ishak, Steven Feiner Content-aware layout. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2007 DBLP  DOI  BibTeX  RDF layout, transparency, scrolling, window manager, fisheye, content-aware
23Nuno C. Lourenço, Nuno C. G. Horta Automatic analog IC layout generation based on a evolutionary computation approach. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog ICs, layout generation, evolutionary computation
23Peter Reid, Fred Hallett-Hook, Beryl Plimmer, Helen C. Purchase Applying layout algorithms to hand-drawn graphs. Search on Bibsonomy OZCHI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF tablet PC, sketch tools, layout algorithms
23Chir-Ho Chang, Jin-Ling Lin A Systematic Layout Planning of Visualizing Devices on a Non-rectangular Plane by Genetic Heuristics. Search on Bibsonomy IEA/AIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Layout Planning, Genetic Algorithm, RFID, Heuristic Rules
23Henriette Bier, Adriaan de Jong, Gijs van der Hoorn, Niels Brouwers, Marijn Heule, Hans van Maaren Prototypes for Automated Architectural 3D-Layout. Search on Bibsonomy VSMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3D-Modeling and Automated Spatial Layout, Euclidean and Non-Euclidean Ge ometries, Satisfiability
23Jie Zou, Daniel X. Le, George R. Thoma Combining DOM tree and geometric layout analysis for online medical journal article segmentation. Search on Bibsonomy JCDL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF HTML document segmentation, document object model (DOM), web information retrieval, document layout analysis
23Christian Klukas, Falk Schreiber, Henning Schwöbbermeyer Coordinated perspectives and enhanced force-directed layout for the analysis of network motifs. Search on Bibsonomy APVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coordinated perspectives, graph drawing, network analysis, multiple views, information visualisation, network motifs, force-directed layout
23Xing Xie 0001, Chong Wang 0002, Li-Qun Chen, Wei-Ying Ma An adaptive web page layout structure for small devices. Search on Bibsonomy Multim. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Slicing tree, Mobile device, Web browsing, Layout optimization, Adaptive content delivery
23David R. Wood Minimising the Number of Bends and Volume in 3-Dimensional Orthogonal Graph Drawings with a Diagonal Vertex Layout. Search on Bibsonomy Algorithmica The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Diagonal layout, Vertex-ordering, Book embedding, Graph drawing, Dimensional, Orthogonal
23Sheelagh Carpendale, Anand Agarawala PhylloTrees: Harnessing Nature's Phyllotactic Patterns for Tree Layout. Search on Bibsonomy INFOVIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF phyllotactic patterns, information visualization, Graph layout, tree visualization
23Goeran Jerke, Jens Lienig, Jürgen Scheible Reliability-driven layout decompaction for electromigration failure avoidance in complex mixed-signal IC designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF decompaction, layout decomposition, physical design, compaction, electromigration, interconnect reliability
23Steven J. Harrington, J. Fernando Naveda, Rhys Price Jones, Paul G. Roetling, Nishant Thakkar Aesthetic measures for automated document layout. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2004 DBLP  DOI  BibTeX  RDF document, layout, aesthetics
23Hongyu Chen, Chung-Kuan Cheng, Nan-Chi Chou, Andrew B. Kahng, John F. MacDonald, Peter Suaris, Bo Yao, Zhengyong Zhu An algebraic multigrid solver for analytical placement with layout based clustering. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF algebraic multigrid method, layout based clustering, analytical placement
23James Burns, Jean-Luc Gaudiot SMT Layout Overhead and Scalability. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade-off, processor architecture, SMT
23David Harel, Gregory Yashchin An algorithm for blob hierarchy layout. Search on Bibsonomy Vis. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Higraph, Hierarchy, Layout, Statechart, Aesthetics
23Katsuyoshi Miura, Kohei Nakata, Koji Nakamae, Hiromu Fujioka Automatic EB Fault Tracing System by Successive Circuit Extraction from VLSI CAD Layout Data. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF automatic fault tracing system, EB tester, CAD layout, VLSI
23Timothy K. Shih, Anthony Y. Chang A schedule/layout computation model. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF schedule/layout computation model, temporal intervals, temporal relation compositions, temporal relation algebraic system, virtual reality timing constraints, virtual reality, time constraints, multimedia presentations, multimedia documents
23Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
23Kanad Chakraborty, Pinaki Mazumder An efficient, bus-layout based method for early diagnosis of bussed driver shorts in printed circuit boards. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bus-layout, bussed driver shorts, early diagnosis, field survivability, interconnect shorts, production yield, printed circuit boards, printed circuit testing
23Nalini K. Ratha, Anil K. Jain 0001, Diane T. Rover FPGA-based high performance page layout segmentation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Splash 2, page layout segmentation algorithm, FPGA array processor, Xilinx synthesis tool, 5 GHz, 1024 pixel, field programmable gate arrays, image segmentation, parallel processing, text
23Chienhua Chen, Dharma P. Agrawal, J. Richard Burke dBCube: A New Class of Hierarchical Multiprocessor Interconnection Networks with Area Efficient Layout. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF dBCube, hierarchical multiprocessor interconnection networks, area efficient layout, node connectivity, compound graph, necklace, performance evaluation, VLSI, graphs, hypercube, multiprocessor interconnection networks, hierarchical networks, wafer scale integration, de Bruijn graph, hypercube topology, Communication locality
23R. Burgess, C. Wouters PARAGON: a new package for gate matrix layout synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF cell generation, gate-matrix layout, routing, simulated annealing, optimisation, placement, logic synthesis, physical design
23Subhas C. Nandy, Bhargab B. Bhattacharya, Sibabrata Ray Efficient algorithms for Identifying All Maximal Isothetic Empty Rectangles in VLSI Layout Design. Search on Bibsonomy FSTTCS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF interval trees, complexity, Computational geometry, placement, VLSI layout, geometric algorithms
23Jinseong Jeon, Keoncheol Shin, Hwansoo Han Abstracting access patterns of dynamic memory using regular expressions. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field affinity, layout transformation, pool allocation, regular expressions, Access patterns
23Helen C. Purchase, Amanjit Samra Extremes Are Better: Investigating Mental Map Preservation in Dynamic Graphs. Search on Bibsonomy Diagrams The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamic graph layout, empirical study, mental map
23Cameron L. McCormack, Kim Marriott, Bernd Meyer 0001 Authoring adaptive diagrams. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF authoring, diagrams, adaptive layout
23Tim Dwyer, Kim Marriott Constrained Stress Majorization Using Diagonally Scaled Gradient Projection. Search on Bibsonomy GD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constraints, graph layout
23Liying Wang, Wei Hua, Hujun Bao Procedural Modeling of Residential Zone Subject to Urban Planning Constraints. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constrained layout optimization, Procedural modeling, urban planning
23Mehrdad Najibi, Kamran Saleh, Hossein Pedram Using standard asic back-end for qdi asynchronous circuits: dealing with isochronic fork constraint. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quasi-delay insensitive, standard-cell layout, asynchronous circuits
23Alexander J. Macdonald, David F. Brailsford, Steven R. Bagley, John William Lumley Speculative document evaluation. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VDP, speculative evaluation, optimisation, SVG, document layout, PPML
23Kim Marriott, Peter Moulder, Nathan Hurst Automatic float placement in multi-column documents. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2007 DBLP  DOI  BibTeX  RDF floating figure, multi-column layout, optimization techniques
23Yingxin Wu 0001, Masahiro Takatsuka Visualizing multivariate network on the surface of a sphere. Search on Bibsonomy APVIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GeoSOM, circular layout, multivariate network, information visualization, graph drawing
23M. Monemizadeh, Hamid Sarbazi-Azad The necklace-hypercube: a well scalable hypercube-based interconnection network for multiprocessors. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF necklace-hypercube, routing, interconnection networks, broadcast, hypercube, VLSI layout, topological properties
23Jack Kustanowitz, Ben Shneiderman Meaningful presentations of photo libraries: rationale and applications of bi-level radial quantum layouts. Search on Bibsonomy JCDL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout generation, photo management, visual presentation, user interfaces, digital libraries
Displaying result #301 - #400 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license