The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Won So, Alexander G. Dean Reaching fast code faster: using modeling for efficient software thread integration on a VLIW DSP. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TI C6000, static profitability estimation, DSP, software pipelining, VLIW, iterative compilation, software thread integration
20Seongmoo Heo, Krste Asanovic Replacing global wires with an on-chip network: a power analysis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip network power model, tile size, wire power model, pipelining, router, tiled architecture
20Mario R. Casu, Luca Macchiarulo Floorplanning for throughput. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems-on-chip, throughput, floorplanning, wire pipelining
20Masaru Takesue A Model of Pipelined Mutual Exclusion on Cache-Coherent Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Models, pipelining, mutual exclusion
20Luis Díaz de Cerio, Miguel Valero-García, Antonio González 0001 Hypercube Algorithms on Mesh Connected Multicomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mesh interconnected multicomputers, standard embedding, communication pipelining, message-scheduling algorithms, Mapping algorithms, complete exchange, hypercube algorithms
20Jason Cong Timing closure based on physical hierarchy. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect planning, logic hierarchy, physical hierarchy, retiming and pipelining, sequential arrival time, interconnect optimization, timing closure, multilevel optimization
20Bin Xiao 0001, Zili Shao, Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Qingfeng Zhuge Optimal Code Size Reduction for Software-Pipelined and Unfolded Loops. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF rotation scheduling, software pipelining, retiming, unfolding
20Hongbo Yang, Guang R. Gao, Clement Leung On achieving balanced power consumption in software pipelined loops. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power-aware compilation, instruction level parallelism, software pipelining
20Srinivasan Dasasathyan, Rajesh Radhakrishnan, Ranga Vemuri Framework for Synthesis of Virtual Pipelines. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Virtual Pipeline, SLAAC-1V board, JHDL, FPGAs, Pipelining, Dynamic Reconfiguration, Partial Reconfiguration
20M. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction queue clock rate, Pipelining
20Steve Haynal, Forrest Brewer Automata-Based Symbolic Scheduling for Looping DFGs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Scheduling, high-level synthesis, automata, Binary Decision Diagrams, nondeterminism, loop pipelining, symbolic model
20Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt Lifetime-Sensitive Modulo Scheduling in a Production Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures
20Sergey Melnik 0001, Sriram Raghavan, Beverly Yang, Hector Garcia-Molina Building a distributed full-text index for the web. Search on Bibsonomy ACM Trans. Inf. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Embedded databases, Pipelining, Text retrieval, Inverted files, Distributed indexing
20U. Nagaraj Shenoy, Alok N. Choudhary, Prithviraj Banerjee An algorithm for synthesis of large time-constrained heterogeneous adaptive systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF delay/cost table, hierarchical control data-flow graph, time-constrained synthesis, pipelining, reconfigurable computing, mixed integer linear programming, list scheduling
20Jörg Ritter 0002, Paul Molitor A pipelined architecture for partitioned DWT based lossy image compression using FPGA's. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded zero tree coding, FPGA, field programmable gate arrays, architecture, wavelet transformation, pipelining, Xilinx, lossy image compression
20Federico Silla, José Duato On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF block multiplexing, channel pipelining, flow control, Networks of workstations, virtual channels, wormhole switching, irregular topology
20Montek Singh, Steven M. Nowick High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design
20Herbert Leitold, Wolfgang Mayerwieser, Udo Payer, Karl C. Posch, Reinhard Posch, Johannes Wolkerstorfer A 155 Mbps Triple-DES Network Encryptor. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DES algorithm, cipher block chaining, true single-phase logic, full-custom design, Network security, pipelining, encryption, Triple-DES
20Johann Großschädl The Chinese Remainder Theorem and its Application in a High-Speed RSA Crypto Chip. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA/spl gamma/ crypto-chip, RSA encryption scheme, hardware performance, long-integer modular arithmetic, private key operations, multiplier architecture, high-speed hardware accelerator, reconfigurable multiplier datapath, word-serial multiplier, modular reduction method, multiplier core, decryption rate, 200 MHz, 560 kbit/s, 2 Mbit/s, parallelism, pipelining, public key cryptography, reconfigurable architectures, clocks, Chinese Remainder Theorem, microprocessor chips, multiplying circuits, modular multiplications, modular exponentiations, pipeline arithmetic, clock frequency
20Dolors Royo, Antonio González 0001, Miguel Valero-García Low Communication Overhead Jacobi Algorithms for Eigenvalues Computation on Hypercubes. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF eigenproblem, Jacobi ordering, CC-cube algorithms, communication pipelining, one port architecture, multiple port architecture, hamiltonian path
20Tao Yang 0009, Cong Fu 0002 Heuristic Algorithms for Scheduling Iterative Task Computations on Distributed Memory Machines. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF iterative task graphs, Scheduling, directed acyclic graphs, software pipelining, granularity, communication optimization
20Hui-I Hsiao, Ming-Syan Chen, Philip S. Yu Parallel Execution of Hash Joins in Parallel Databases. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Hash filters, bushy trees, pipelining, hash joins
20F. Jesús Sánchez, Antonio González 0001 Cache Sensitive Modulo Scheduling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLIW machines, Software pipelining, software prefetching, locality analysis
20Seongbae Park, SangMin Shim, Soo-Mook Moon Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques
20Chih-Tung Chen, Kayhan Küçükçakar High-level scheduling model and control synthesis for a broad range of design applications. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multi-phase clocking, relative scheduling, architectural power optimization, high-level synthesis, pipelining, multi-threading, behavioral synthesis, control synthesis, architectural synthesis, scheduling model
20Manuel Sánchez, Juan López, Oscar G. Plata, Emilio L. Zapata An efficient architecture for the in place fast cosine transform. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF in place fast cosine transform, image encoding, inverse cosine transform, locality, pipelining, image compression, discrete cosine transforms, DCT, VLSI implementation
20Edwin Rijpkema, Gerben J. Hekstra, Ed F. Deprettere, Jun Ma 0011 A strategy for determining a Jacobi specific dataflow processor. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Jacobi specific dataflow processor, Jacobi algorithms, real-lime adaptive signal processing applications, quasi regularity property, dependence graph representations, exploration iteration, processor template, mapper, hierarchical exploration method, mapping efficiency, lookahead techniques, pipelining, retiming, adaptive signal processing, application domain, array processing
20Sissades Tongsima, Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Nelson L. Passos Probabilistic Rotation: Scheduling Graphs with Uncertain Execution Time. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Scheduling, probability, software pipelining, rotation, data-flow graph
20James D. Allen, David E. Schimmel Issues in the Design of High Performance SIMD Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MasPar, caches, pipelining, SIMD, data parallel
20Joseph G. Peters, Michel Syska Circuit-Switched Broadcasting in Torus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit-switched routing, Broadcasting, pipelining, tilings, torus networks
20Val Donaldson, Jeanne Ferrante Determining Asynchronous Acyclic Pipeline Execution Times. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous pipelining, parallel execution time, loop parallelism, task graph scheduling, pipeline scheduling
20Ching-Long Su, Yin-Tsung Hwang Distributed arithmetic-based architectures for high speed IIR filter design. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high speed IIR filter, pipelining techniques, SPDM technology, parallel processing, parallel architectures, digital arithmetic, recursion, recursive filters, Distributed Arithmetic, IIR filters, DSP applications
20S. B. Aruru, N. Ranganathan, Kameswara Rao Namuduri A VLSI chip for image compression using variable block size segmentation. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF variable block size segmentation, VBSS scheme, variable size blocks, redundancy features, maximum compression, nearest neighbor communication, CMOS VLSI chip, image characteristics extraction subsystem, Cadence design tools, VLSI, parallelism, pipelining, image compression, VLSI architecture, VLSI implementation, lossless image compression, VLSI chip, coding techniques
20Miodrag Potkonjak Discrete-relaxation-based heuristic techniques for video algorithm/architecture matching and system level transformations. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF discrete-relaxation-based heuristic techniques, video algorithm, system level transformations, computational transformations, throughput performance, iterative heuristic approach, behavioral transformations, rephasing, architecture matching, computational complexity, image processing, VLSI, pipelining, iterative methods, pipeline processing, retiming, integrated circuit design, system level design, video processing, video signal processing, heuristic programming, digital signal processing chips, circuit optimisation, throughput optimization
20Michael S. Allen, W. Kurt Lewchuk, J. D. Coddington A high performance bus and cache controller for PowerPC multiprocessing systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high performance bus, cache controller, PowerPC 620 microprocessor, system bus interface, ECC protected, server-class systems, physical address bus, data bus, address transfer rates, address snoop response, direct cache-to-cache data transfers, 2 GByte/s, 133 MHz, 128 MB, performance evaluation, pipelining, multiprocessing systems, multiprocessing systems, pipeline processing, cache storage, microprocessor chips, coprocessors, cache coherency protocol, data transfer, PowerPC, system buses, co-processor
20Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III, C. Thomas Gray Concurrent timing optimization of latch-based digital systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent timing optimization, latch-based digital systems, digital system timing, intentional clock skew, latch-based designed systems, multi-phase clocking, resynchronization, latches insertion, optimisation, timing, logic design, flip-flops, retiming, mixed integer linear program, race conditions, integrated framework, wave pipelining, hazards and race conditions, clock period
20Jayesh Siddhiwala, Liang-Fang Chao Scheduling conditional data-flow graphs with resource sharing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conditional data-flow graphs, resource sharing algorithm, pipeline scheduling algorithms, loop constructs, condition vector, dynamic resource sharing, rotation scheduling technique, parallel algorithms, data structures, data structure, resource allocation, high level synthesis, high level synthesis, processor scheduling, pipeline processing, data flow graphs, loop pipelining, conditional branches
20W. Amendola Jr., Hosahalli R. Srinivas, Keshab K. Parhi A 16-bit x 16-bit 1.2 μ CMOS multiplier with low latency vector merging. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS multiplier, low latency vector merging, bit-level pipelined architecture, two's-complement binary array multiplier, multiplier architecture, signed-digit radix 2 adders, carry free adders, fast conversion scheme, pipelining registers, half adders, positive edge triggered registers, single phase clocking scheme, 16 bit, 50 MHz, 3 V, VLSI, parallel architectures, multiplication, VLSI architecture, CMOS logic circuits, multiplying circuits, data conversion, pipeline arithmetic, 1.2 micron
20Debabrata Ghosh, Soumitra Kumar Nandy Wave pipelined architecture folding: a method to achieve low power and low area. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wave pipelined architecture folding, clock-free wave pipelining scheme, chip area reduction, VLSI, low power design, logic design, pipeline processing, integrated circuit design, digital integrated circuits
20Anne Rogers, Keshav Pingali Compiling for Distributed Memory Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF processdecomposition, locality ofreference, run-time resolution, message traffic, hydrodynamicsbenchmark, Intel iPSC/2, load balancing, parallel programming, synchronization, pipelining, synchronisation, program compilers, distributed memory systems, parallelizing compiler, pipeline processing, high-level languages, distributed memory architectures, SIMPLE
20Vikram S. Adve, Mary K. Vernon Performance Analysis of Mesh Interconnection Networks with Deterministic Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF mesh interconnection networks, single-hit buffers, infinite buffers, nonadaptive deadlock-free routing scheme, closedqueueing network, message blocking, message pipelining, arbitrary source-destinationprobability distribution, 2D networks, shared-memory traffic, two dimensional network, performance evaluation, performance analysis, concurrency control, probability, multiprocessor interconnection networks, queueing theory, wormhole routing, network routing, virtual channels, deterministic routing, k-ary n-cube networks, deadlock-free routing algorithm, performance issues
20Daniel H. Linder, James C. Harden Access Graphs: A Model for Investigating Memory Consistency. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF access pipelining, synchronization, caches, computer architecture, computer architectures, synchronisation, shared memory systems, memory consistency, massively parallel systems
20Michel Dubois 0001, Christoph Scheurich Memory Access Dependencies in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF memory access dependencies, logical concurrency model, pipelining, multiprocessing systems, rules, shared-memory multiprocessors, multiprogramming, storage allocation, multiprocessor architectures, private caches
20Zhiwei Xu, Kai Hwang 0001 Molecule: A Language Construct for Layered Development of Parallel Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF layered development, molecule type, computation mode, layered software development, iPSC, application flexibility, algorithms, parallel programs, parallel programming, parallel computers, pipelining, multicomputer, dataflow, high-level languages, multiprocessing, sequential, array processing, user friendliness, language construct, procedural language, PAL
15Shanthi Pavan, Saravana Manivannan, Nishanth Basavaraj Analysis and Design of Wideband Filtering ADCs Using Continuous-Time Pipelining. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Athina Georgara, Raman Kazhamiakin, Ornella Mich, Alessio Palmero Aprosio, Jean-Christophe R. Pazzaglia, Juan Antonio Rodríguez-Aguilar, Carles Sierra Correction to: The AI4Citizen pilot: Pipelining AI-based technologies to support school-work alternation programmes. Search on Bibsonomy Appl. Intell. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Masaki Arai, Naoto Fukumoto, Hitoshi Murai Introducing software pipelining for the A64FX processor into LLVM. Search on Bibsonomy HPC Asia Workshops The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Zili Zhang, Fangyue Liu, Gang Huang 0001, Xuanzhe Liu, Xin Jin 0008 Fast Vector Query Processing for Large Datasets Beyond GPU Memory with Reordered Pipelining. Search on Bibsonomy NSDI The full citation details ... 2024 DBLP  BibTeX  RDF
15Rahma Nouaji, Stella Bitchebe, Oana Balmau SpeedyLoader: Efficient Pipelining of Data Preprocessing and Machine Learning Training. Search on Bibsonomy EuroMLSys@EuroSys The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Nicolai Fiege, Peter Zipf BLOOP: Boolean Satisfiability-based Optimized Loop Pipelining. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Delia Velasco-Montero, Bart Goossens, Jorge Fernández-Berni, Ángel Rodríguez-Vázquez, Wilfried Philips A Pipelining-Based Heterogeneous Scheduling and Energy-Throughput Optimization Scheme for CNNs Leveraging Apache TVM. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Daeyeon Kim, Heonchoel Lee, Hyuck-Hoon Kwon, Yeji Hwang, Wonseok Choi 0005 Parallelized Particle Filter With Efficient Pipelining on FPGA for Real-Time Ballistic Target Tracking. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Cihat Keçeci, Mohammad Shaqfeh, Fawaz S. Al-Qahtani, Muhammad Ismail 0001, Erchin Serpedin Clustered Scheduling and Communication Pipelining for Efficient Resource Management of Wireless Federated Learning. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yimin Zhuang, Xing Hu 0001, Xiaobing Chen, Tian Zhi DyPipe: A Holistic Approach to Accelerating Dynamic Neural Networks with Dynamic Pipelining. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Xiaoyu Yu Genofunc: genome annotation and identification of genome features for automated pipelining analysis of virus whole genome sequences. Search on Bibsonomy BMC Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ziying Ni, Ayesha Khalid, Dur-e-Shahwar Kundi, Máire O'Neill, Weiqiang Liu 0001 HPKA: A High-Performance CRYSTALS-Kyber Accelerator Exploring Efficient Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Jingzhe Guo, Mingsheng Ying Software Pipelining for Quantum Loop Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Argyrios Sideris, Minas Dasygenis Enhancing the Hardware Pipelining Optimization Technique of the SHA-3 via FPGA. Search on Bibsonomy Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mark Horeni, Siddharth Joshi Improvements in Interlayer Pipelining of CNN Accelerators Using Genetic Algorithms. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Kingshuk Majumder, Uday Bondhugula Automatic multi-dimensional pipelining for high-level synthesis of dataflow accelerators. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Athina Georgara, Raman Kazhamiakin, Ornella Mich, Alessio Palmero Aprosio, Jean-Christophe R. Pazzaglia, Juan Antonio Rodríguez-Aguilar, Carles Sierra The AI4Citizen pilot: Pipelining AI-based technologies to support school-work alternation programmes. Search on Bibsonomy Appl. Intell. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Christodoulos Peltekis, Dionysios Filippas, Giorgos Dimitrakopoulos, Chrysostomos Nicopoulos, Dionisios N. Pnevmatikatos ArrayFlex: A Systolic Array Architecture with Configurable Transparent Pipelining. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yifan Yang, Joel S. Emer, Daniel Sánchez 0003 ISOSceles: Accelerating Sparse CNNs through Inter-Layer Pipelining. Search on Bibsonomy HPCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Zeqian Dong, Qiang He 0001, Feifei Chen 0001, Hai Jin 0001, Tao Gu, Yun Yang 0001 EdgeMove: Pipelining Device-Edge Model Training for Mobile Intelligence. Search on Bibsonomy WWW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Liang Yuan, Qiang He 0001, Feifei Chen 0001, Ruihan Dou, Hai Jin 0001, Yun Yang 0001 PipeEdge: A Trusted Pipelining Collaborative Edge Training based on Blockchain. Search on Bibsonomy WWW The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shaohuai Shi, Xinglin Pan, Xiaowen Chu 0001, Bo Li 0001 PipeMoE: Accelerating Mixture-of-Experts through Adaptive Pipelining. Search on Bibsonomy INFOCOM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Siddhisanket Raskar, Thomas Applencourt, Kalyan Kumaran, Guang R. Gao Codelet Pipe: Realization of Dataflow Software Pipelining for Extended Codelet Model. Search on Bibsonomy ICPP Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Viktor Razilov, Juncen Zhong, Emil Matús, Gerhard P. Fettweis Dual Vector Load for Improved Pipelining in Vector Processors. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yuke Wang, Boyuan Feng, Zheng Wang, Tong Geng, Kevin J. Barker, Ang Li 0006, Yufei Ding MGG: Accelerating Graph Neural Networks with Fine-Grained Intra-Kernel Communication-Computation Pipelining on Multi-GPU Platforms. Search on Bibsonomy OSDI The full citation details ... 2023 DBLP  BibTeX  RDF
15Lin Zhang, Shaohuai Shi, Xiaowen Chu 0001, Wei Wang 0030, Bo Li 0001, Chengjian Liu DeAR: Accelerating Distributed Deep Learning with Fine-Grained All-Reduce Pipelining. Search on Bibsonomy ICDCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ryan Dutra de Abreu, Laura Silva de Assis, Douglas de O. Cardoso Experimental Analysis of Pipelining Community Detection and Recommender Systems. Search on Bibsonomy WEBIST The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Siddhisanket Raskar, Jose Manuel Monsalve Diaz, Thomas Applencourt, Kalyan Kumaran, Guang Gao Implementation of Dataflow Software Pipelining for Codelet Model. Search on Bibsonomy ICPE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15B. Naresh Kumar Reddy, K. Sarangam, Sushmita Dandeliya, S. Pavan Sai Naidu, Naveen Kumar P Accelerating Sorting Performance on FPGA: Combining Quick Sort and Heap Sort through Hybrid Pipelining. Search on Bibsonomy iSES The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Miyeon Lee, Inhwan Kim, Pureuna Shin, Hwayong Oh, Keewon Joe Prediction-Reconstruction VLSI architecture with efficient pipelining for VVC Decoder. Search on Bibsonomy ICCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ian Healy, Peter Giordano, Wafa Elmannai Branch Prediction in CPU Pipelining. Search on Bibsonomy UEMCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yuzuo Zhang, Xinyuan Tu, Lin Wang, Yuchong Hu, Fang Wang, Ye Wang FullRepair: Towards Optimal Repair Pipelining in Erasure-Coded Clustered Storage Systems. Search on Bibsonomy CLUSTER The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Liwei Guo, Wonkyo Choe, Felix Xiaozhu Lin STI: Turbocharge NLP Inference at the Edge via Elastic Pipelining. Search on Bibsonomy ASPLOS (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Chengning Wang, Dan Feng 0001, Wei Tong 0001, Jingning Liu CorcPUM: Efficient Processing Using Cross-Point Memory via Cooperative Row-Column Access Pipelining and Adaptive Timing Optimization in Subarrays. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Ophélie Renaud, Naouel Haggui, Karol Desnos, Jean-François Nezan Automated Clustering and Pipelining of Dataflow Actors for Controlled Scheduling Complexity. Search on Bibsonomy EUSIPCO The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Xin Zhou, Yong Dou, Rongchun Li, Peng Zhang 0035, Yuntao Liu A pipelining strategy for accelerating convolution neural networks on ARM CPUs. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Haiqiao Wu, Wan Du, Peng Gong 0001, Dapeng Oliver Wu CPU: Cross-Rack-Aware Pipelining Update for Erasure-Coded Storage. Search on Bibsonomy IEEE Trans. Cloud Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Chun Chen, Li Ning 0001, Junxue Li, Shengzhong Feng, Hao Zhang, Qiang Zhang, Jinchun Yin Dynamic pipelining for the loosely-coupled distributed constraint satisfaction problems. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Mochamad Asri, Andreas Gerstlauer CASPHAr: Cache-Managed Accelerator Staging and Pipelining in Heterogeneous System Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Cunlu Li, Dezun Dong, Xiangke Liao MUA-Router: Maximizing the Utility-of-Allocation for On-chip Pipelining Routers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Alexei A. Ivankov, G. A. Manuilov Data Repository in Framework for Computation Pipelining: Model, Architecture, the Implementation Performance Estimates. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Kazuki Osawa, Shigang Li 0002, Torsten Hoefler PipeFisher: Efficient Training of Large Language Models Using Pipelining and Fisher Information Matrices. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Guyue Huang, Yang Bai, Liu Liu 0017, Yuke Wang, Bei Yu 0001, Yufei Ding, Yuan Xie 0001 Enabling Data Movement and Computation Pipelining in Deep Learning Compiler. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Liwei Guo, Wonkyo Choe, Felix Xiaozhu Lin Efficient NLP Inference at the Edge via Elastic Pipelining. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Cihat Keçeci, Mohammad Shaqfeh, Fawaz S. Al-Qahtani, Muhammad Ismail 0001, Erchin Serpedin Clustered Scheduling and Communication Pipelining For Efficient Resource Management Of Wireless Federated Learning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yuke Wang, Boyuan Feng, Zheng Wang, Tong Geng, Kevin J. Barker, Ang Li 0006, Yufei Ding Empowering GNNs with Fine-grained Communication-Computation Pipelining on Multi-GPU Platforms. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jennifer Andreoli-Fang, John T. Chapman Latency Reduction for Mobile Backhaul by Pipelining LTE and DOCSIS. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jackson Melchert, Yuchen Mei, Kalhan Koul, Qiaoyi Liu, Mark Horowitz, Priyanka Raina Cascade: An Application Pipelining Toolkit for Coarse-Grained Reconfigurable Arrays. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Christodoulos Peltekis, Dionysios Filippas, Giorgos Dimitrakopoulos, Chrysostomos Nicopoulos, Dionisios N. Pnevmatikatos ArrayFlex: A Systolic Array Architecture with Configurable Transparent Pipelining. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hongwu Peng, Shaoyi Huang, Shiyang Chen, Bingbing Li, Tong Geng, Ang Li 0006, Weiwen Jiang, Wujie Wen, Jinbo Bi, Hang Liu 0001, Caiwen Ding A Length Adaptive Algorithm-Hardware Co-design of Transformer on FPGA Through Sparse Attention and Dynamic Pipelining. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15María Novo-Lourés, Yeray Lage, Reyes Pavón 0001, Rosalía Laza, David Ruano-Ordás, José Ramón Méndez 0001 Improving Pipelining Tools for Pre-processing Data. Search on Bibsonomy Int. J. Interact. Multim. Artif. Intell. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Adriaan Peetermans, Ingrid Verbauwhede An energy and area efficient, all digital entropy source compatible with modern standards based on jitter pipelining. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Ziying Ni, Ayesha Khalid, Dur-e-Shahwar Kundi, Máire O'Neill, Weiqiang Liu 0001 Efficient Pipelining Exploration for A High-performance CRYSTALS-Kyber Accelerator. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
15Carl Poelking, Felix A. Faber, Bingqing Cheng BenchML: an extensible pipelining framework for benchmarking representations of materials and molecules at scale. Search on Bibsonomy Mach. Learn. Sci. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Nicolai Fiege, Patrick Sittel, Peter Zipf Optimal Binding and Port Assignment for Loop Pipelining in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Konstantinos Parasyris, Giorgis Georgakoudis, Johannes Doerfert, Ignacio Laguna, Thomas R. W. Scogland Piper: Pipelining OpenMP Offloading Execution Through Compiler Optimization For Performance. Search on Bibsonomy P3HPC@SC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license