The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wirelength with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1998 (20) 1999-2000 (19) 2001-2002 (25) 2003 (24) 2004 (32) 2005 (45) 2006 (51) 2007 (57) 2008 (57) 2009 (37) 2010 (21) 2011-2012 (17) 2013-2017 (21) 2018-2021 (18) 2022-2024 (13)
Publication types (Num. hits)
article(141) inproceedings(316)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 405 occurrences of 176 keywords

Results
Found 457 publication records. Showing 457 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Chung-Wei Lin, Shih-Lun Huang, Kai-Chi Hsu, Meng-Xiang Lee, Yao-Wen Chang Multilayer Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jarrod A. Roy, Igor L. Markov High-Performance Routing at the Nanometer Scale. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Morteza Saheb Zamani, Maryam Taajobian, Mehdi Saeedi An Efficient Non-Tree Clock Routing Algorithm for Reducing Delay Uncertainty. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Michael D. Moffitt MaizeRouter: Engineering an effective global router. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Xin Li, Yuchun Ma, Xianlong Hong, Sheqin Dong, Jason Cong LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chaomin Luo, Miguel F. Anjos, Anthony Vannelli Large-scale fixed-outline floorplanning design using convex optimization techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Anand Rajaram, David Z. Pan MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jason Cong, Guojie Luo Highly efficient gradient computation for density-constrained analytical placement methods. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF force-directed method, mixed-size placement
10Tung-Chieh Chen, Minsik Cho, David Z. Pan, Yao-Wen Chang Metal-density driven placement for cmp variation and routability. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, manufacturability
10Stephen Plaza, Igor L. Markov, Valeria Bertacco Optimizing non-monotonic interconnect using functional simulation and logic restructuring. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Minsik Cho, Yongchan Ban, David Z. Pan Double patterning technology friendly detailed routing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tao Luo 0002, David A. Papa, Zhuo Li 0001, Chin Ngai Sze, Charles J. Alpert, David Z. Pan Pyramids: an efficient computational geometry-based approach for timing-driven placement. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jia-Wei Fang, Kuan-Hsien Ho, Yao-Wen Chang Routing for chip-package-board co-design considering differential pairs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jin Hu, Jarrod A. Roy, Igor L. Markov Sidewinder: a scalable ILP-based router. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integer linear programming, global routing
10Hsin-Hsiung Huang, Hui-Yu Huang, Yu-Cheng Lin, Tsai-Ming Hsieh Timing-driven obstacles-avoiding routing tree construction for a multiple-layer system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kanupriya Gulati, Sunil P. Khatri Improving FPGA routability using network coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, network coding
10Haixia Yan, Qiang Zhou 0001, Xianlong Hong Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, DCT, 3D, thermal
10Ming-Fang Lai, Hung-Ming Chen An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chip-Package Codesign, I/O Placement, Power Integrity
10Arash Mehdizadeh, Morteza Saheb Zamani Proposing an efficient method to estimate and reduce crosstalk after placement in VLSI circuits. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Tung-Chieh Chen, Ashutosh Chakraborty, David Z. Pan An integrated nonlinear placement framework with congestion and porosity aware buffer planning. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, buffer
10Anand Rajaram, David Z. Pan Robust chip-level clock tree synthesis for SOC designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip-level CTS, physical design, clock network
10Jason Meyer, Fatih Kocan Sharing of SRAM Tables Among NPN-Equivalent LUTs in SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Bao Liu 0001, Qinke Wang Stochastic Power/Ground Supply Voltage Prediction and Optimization Via Analytical Placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Utilizing Redundancy for Timing Critical Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Zhen Yang 0006, Anthony Vannelli, Shawki Areibi An ILP based hierarchical global routing approach for VLSI ASIC design. Search on Bibsonomy Optim. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI physical design, Standard cell global routing, Integer Linear Programming
10Srinivasan Murali, Luca Benini, Giovanni De Micheli An Application-Specific Design Methodology for On-Chip Crossbar Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hsun-Cheng Lee, Yao-Wen Chang, Hannah Honghua Yang MBast-Tree: A Multilevel Floorplanner for Large-Scale Building-Module Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Minimum-Congestion Placement for Y-interconnects: Some studies and observations. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Adriel Ziesemer, Cristiano Lazzari Transistor level automatic layout generator for non-complementary CMOS cells. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Peter Spindler, Frank M. Johannes Fast and accurate routing demand estimation for efficient routability-driven placement. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF legalization technique, FastPlace 3.0, multilevel quadratic placement algorithm, placement congestion control, large-scale mixed-size designs, multilevel global placement framework, two-level clustering scheme, iterative local refinement, placement blockages, placement congestion constraints
10Pei-Ci Wu, Jhih-Rong Gao, Ting-Chi Wang A Fast and Stable Algorithm for Obstacle-Avoiding Rectilinear Steiner Minimal Tree Construction. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wai-Kei Mak, Jr-Wei Chen Voltage Island Generation under Performance Requirement for SoC Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
10Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 Minimal skew clock embedding considering time variant temperature gradient. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock tree design, compact parameterization, parameterized perturbation, thermal management
10Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang Efficient obstacle-avoiding rectilinear steiner tree construction. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, spanning tree, physical design, Steiner tree
10Shiyan Hu, Jiang Hu Pattern sensitive placement for manufacturability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, physical design, manufacturability
10Song Chen 0001, Takeshi Yoshimura A stable fixed-outline floorplanning method. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF floorplanning, sequence pair, fixed-outline
10Philip Chong, Christian Szegedy A morphing approach to address placement stability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF incremental placement, stability, morphing
10Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Puneet Sharma, Rasit Onur Topaloglu Exploiting STI stress for performance. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Muhammet Mustafa Ozdal, Martin D. F. Wong Archer: a history-driven global routing algorithm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jarrod A. Roy, Igor L. Markov High-performance routing at the nanometer scale. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yaoguang Wei, Sheqin Dong, Xianlong Hong, Yuchun Ma An accurate and efficient probabilistic congestion estimation model in x architecture. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion estimation, dynamic resource assignment, the X architecture, routability
10Andrew B. Kahng, Sung-Mo Kang, Wei Li, Bao Liu 0001 Analytical thermal placement for VLSI lifetime improvement and minimum performance variation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shilpa Bhoj, Dinesh Bhatia Thermal Modeling and Temperature Driven Placement for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wing On Fung, Tughrul Arslan, Sami Khawam A Hybrid Engine for the Placement of Domain-Specific Reconfigurable Arrays. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Vyas Krishnan, Srinivas Katkoori A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Pritha Banerjee 0001, Susmita Sur-Kolay, Arijit Bishnu Floorplanning in Modern FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jia-Wei Fang, Chin-Hsiung Hsu, Yao-Wen Chang An Integer Linear Programming Based Routing Algorithm for Flip-Chip Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Min Pan, Chris C. N. Chu IPR: An Integrated Placement and Routing Algorithm. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan TROY: Track Router with Yield-driven Wire Planning. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Multi-Objective Module Placement For 3-D System-On-Package. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10PariVallal Kannan, Dinesh Bhatia Interconnect estimation for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wei-Kei Mak, C.-L. Lai On Constrained Pin-Mapping for FPGA-PCB Codesign. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Hung-Ming Chen, I-Min Liu, Martin D. F. Wong I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, Sung Kyu Lim Profile-guided microarchitectural floor planning for deep submicron processor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tung-Chieh Chen, Yao-Wen Chang Modern Floorplanning Based on B*-Tree and Fast Simulated Annealing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mongkol Ekpanyapong, Michael B. Healy, Sung Kyu Lim Profile-Driven Instruction Mapping for Dataflow Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qinke Wang Lens aberration aware timing-driven placement. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Eric Wong 0002, Sung Kyu Lim 3D floorplanning with thermal vias. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Min-Seok Kim, Jiang Hu Associative skew clock routing for difficult instances. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Jacob R. Minz, Somaskanda Thyagaraja, Sung Kyu Lim Optical routing for 3D system-on-package. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Ryan Kastner, Wenrui Gong, Xin Hao, Forrest Brewer, Adam Kaplan, Philip Brisk, Majid Sarrafzadeh Layout driven data communication optimization for high level synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Shantanu Dutt, Hasan Arslan Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tai-Chen Chen, Yao-Wen Chang, Shyh-Chang Lin A novel framework for multilevel full-chip gridless routing. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace 2.0: an efficient analytical placer for mixed-mode designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Love Singhal, Elaheh Bozorgzadeh Multi-layer Floorplanning on a Sequence of Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran Solving hard instances of floorplacement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout
10Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh Dragon2006: blockage-aware congestion-controlling mixed-size placer. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design
10Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen Efficient generation of short and fast repeater tree topologies. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inverter tree, repeater tree, buffering, tree topology, rectilinear Steiner tree
10Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: enhanced multilevel mixed-size placement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, Helmholtz equation, force-directed placement, multilevel optimization
10Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling capacitor planning and sizing for noise and leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction
10Minsik Cho, David Z. Pan, Hua Xiang 0001, Ruchir Puri Wire density driven global routing for CMP variation and timing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, VLSI, manufacturability, global routing
10Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Michael D. Moffitt, Aaron N. Ng, Igor L. Markov, Martha E. Pollack Constraint-driven floorplan repair. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF constraints, floorplanning, legalization
10Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 Steiner network construction for timing critical nets. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Steiner network, routing, redundancy, interconnect
10Padmini Gopalakrishnan, Xin Li 0001, Lawrence T. Pileggi Architecture-aware FPGA placement using metric embedding. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, placement, metric embedding
10Rishi Chaturvedi, Jiang Hu An efficient merging scheme for prescribed skew clock routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement-driven synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong Simultaneous power supply planning and noise avoidance in floorplan design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y architecture for on-chip interconnect: analysis and methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong An algorithm for integrated pin assignment and buffer planning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF min-cost maximum flow, Buffer insertion, pin assignment
10Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jason Cong, Yan Zhang Thermal-driven multilevel routing for 3-D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Zhong-Ching Lu, Ting-Chi Wang Concurrent flip-flop and buffer insertion with adaptive blockage avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Liang Huang, Yici Cai, Qiang Zhou 0001, Xianlong Hong, Jiang Hu, Yongqiang Lu 0001 Clock network minimization methodology based on incremental placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Wai-Ching Douglas Lam, Cheng-Kok Koh Process variation robust clock tree routing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, Gi-Joon Nam, Paul Villarribua, Mehmet Can Yildiz Placement stability metrics. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Natarajan Viswanathan, Min Pan, Chris C. N. Chu FastPlace: an analytical placer for mixed-mode designs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-mode placement, floorplanning, analytical placement
10Tung-Chieh Chen, Yao-Wen Chang Modern floorplanning based on fast simulated annealing. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulated annealing, floorplanning
10Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: a robust multilevel mixed-size placement engine. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization
10Andrew B. Kahng, Sherief Reda, Qinke Wang APlace: a general analytic placement framework. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed size, congestion, multi-level, analytical placement
10Lars A. Schreiner, Markus Olbrich, Erich Barke, Volker Meyer zu Bexten Routing of analog busses with parasitic symmetry. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog routing, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
10Andrew B. Kahng, Bao Liu 0001, Qinke Wang Supply Voltage Degradation Aware Analytical Placement. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003, Hsin-Hsien Ho Modem floorplanning with abutment and fixed-outline constraints. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Chung-Kuan Cheng Performance constrained floorplanning based on partial clustering [IC layout]. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 457 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license