The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Maizura Mokhtar, David M. Halliday, Andy M. Tyrrell Hippocampus-Inspired Spiking Neural Network on FPGA. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bio-inspired Hardware, FPGA, Spiking Neural Network
10David Sheldon, Frank Vahid Don't forget memories: a case study redesigning a pattern counting ASIC circuit for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, high-throughput design, pattern counting, redesigning circuit, FPGA, design patterns, stream, memory, ASIC
10Jin Hu, Jarrod A. Roy, Igor L. Markov Sidewinder: a scalable ILP-based router. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integer linear programming, global routing
10Marcus Komann, Alexander Kröller, Christiane Schmidt 0001, Dietmar Fey, Sándor P. Fekete Emergent algorithms for centroid and orientation detection in high-performance embedded cameras. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF emergent algorithms, marching pixels, image processing, object detection, massively-parallel
10Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Nidhal Selmane, Renaud Pacalet Silicon-level Solutions to Counteract Passive and Active Attacks. Search on Bibsonomy FDTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sylvain Saïghi, Laure Buhry, Yannick Bornat, Gilles N'Kaoua, Jean Tomas, Sylvie Renaud Adjusting the neurons models in neuromimetic ICs using the voltage-clamp technique. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Li Zhang, Shuangfei Li, Zan Yin, Wenyuan Zhao A Research on an ASIP Processing Element Architecture Suitable for FPGA Implementation. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jens-Peter Kaps Chai-Tea, Cryptographic Hardware Implementations of xTEA. Search on Bibsonomy INDOCRYPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF symmetric key algorithms, TEA, XTEA, FPGA, ASIC, Efficient implementation
10Jason Lee, Lesley Shannon, Matthew J. Yedlin, Gary F. Margrave A multi-FPGA application-specific architecture for accelerating a floating point Fourier Integral Operator. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10David Dickin, Lesley Shannon Extending the SIMPPL SoC architectural framework to support application-specific architectures on multi-FPGA platforms. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kamran Zarrineh Design for Test Challenges of High Performance/Low Power Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sandeep Gupta, Jaya Singh, Abhijit Roy A Novel Cell-Based Heuristic Method for Leakage Reduction in Multi-Million Gate VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dual-Vt Technology, Cell-Based Approach, Cell-swapping, Leakage Power
10Nidhir Kumar, Senthil N. Velu, Rajan Verma Gateway to Chips: High Speed I/O Signalling and Interface. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Min Li 0001, Bruno Bougard, David Novo, Liesbet Van der Perre, Francky Catthoor How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF baseband, low power, wireless, SDR
10Michela Becchi, Patrick Crowley Efficient regular expression evaluation: theory to practice. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Aggelos Ioannou, Manolis Katevenis Pipelined heap (priority queue) management for advanced scheduling in high-speed networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-speed network scheduling, pipelined hard-ware heap, synthesizable core, weighted fair queueing, priority queue, weighted round robin
10Fan-Min Li, An-Yeu Wu On the New Stopping Criteria of Iterative Turbo Decoding by Using Decoding Threshold. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10George Michelogiannakis, Dionisios N. Pnevmatikatos, Manolis Katevenis Approaching Ideal NoC Latency with Pre-Configured Routes. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Tim Güneysu, Christof Paar, Sven Schäge Efficient Hash Collision Search Strategies on Special-Purpose Hardware. Search on Bibsonomy WEWoRC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Crypto Attacks, Hash functions, Special-purpose Hardware
10Jing Fu 0003, Olof Hagsand, Gunnar Karlsson Queuing Behavior and Packet Delays in Network Processor Systems. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF queueing behavior, network processor, router
10Satish Sivaswamy, Kia Bazargan Variation-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical timing analysis, FPGA routing
10David Slogsnat, Alexander Giese, Ulrich Brüning 0001 A versatile, low latency HyperTransport core. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HTX, HyperTransport, FPGA, prototyping, RTL
10Gerald Hempel, Christian Hochberger A resource optimized Processor Core for FPGA based SoCs. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Yong Li 0006, Zhiying Wang 0003, Jian Ruan, Kui Dai A Low-Power Globally Synchronous Locally Asynchronous FFT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10A. N. Satrawala, Keshavan Varadarajan, Mythri Alle, S. K. Nandy 0001, Ranjani Narayan REDEFINE: Architecture of a SoC Fabric for Runtime Composition of Computation Structures. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Gerald Hempel, Christian Hochberger A resource optimized SoC Kit for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Subhomoy Chattopadhyay Low power design techniques for nanometer design processes: 65 nm and smaller. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 65 nm, low power, embedded design
10A. C. H. Ng, Jan-Willem Weijers, Miguel Glassee, Thomas Schuster, Bruno Bougard, Liesbet Van der Perre ESL design and HW/SW co-verification of high-end software defined radio platforms. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF verification, emulation, SDR, hardware/software co-design, ESL
10Yong Li 0006, Zhiying Wang 0003, Xue-mi Zhao, Jian Ruan, Kui Dai Design of a Low-Power Embedded Processor Architecture Using Asynchronous Function Units. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Wolfgang Puffitsch, Martin Schoeberl picoJava-II in an FPGA. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, Java processor
10Simone Medardoni, Davide Bertozzi, Enrico Macii Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL synthesis, leakage-aware, power management, selection strategy
10Dietmar Fey, Marcus Komann, Frank Schurz, Andreas Loos An Organic Computing architecture for visual microprocessors based on Marching Pixels. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Atanu Chattopadhyay, Zeljko Zilic Reconfigurable Clock Distribution Circuitry. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Hans Kristian Otnes Berge, Philipp Häfliger High-Speed Serial AER on FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Andrew McCormick An Engineering Approach to Solving HPC Problems using FPGAs. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Eunjung Cho, Anu G. Bourgeois, Feng Tan An FPGA Design to Achieve Fast and Accurate Results for Molecular Dynamics Simulations. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10David E. Shaw, Martin M. Deneroff, Ron O. Dror, Jeffrey Kuskin, Richard H. Larson, John K. Salmon, Cliff Young, Brannon Batson, Kevin J. Bowers, Jack C. Chao, Michael P. Eastwood, Joseph Gagliardo, J. P. Grossman, C. Richard Ho, Doug Ierardi, István Kolossváry, John L. Klepeis, Timothy Layman, Christine McLeavey, Mark A. Moraes, Rolf Mueller, Edward C. Priest, Yibing Shan, Jochen Spengler, Michael Theobald, Brian Towles, Stanley C. Wang Anton, a special-purpose machine for molecular dynamics simulation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF biomolecular system simulation, computational drug design, special-purpose machine, bioinformatics, computational biology, molecular dynamics, protein folding, protein structure
10Piti Piyachon, Yan Luo Compact State Machines for High Performance Pattern Matching. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Philip Brisk, Ajay Kumar Verma, Paolo Ienne, Hadi Parandeh-Afshar Enhancing FPGA Performance for Arithmetic Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Amilcar do Carmo Lucas, Sven Heithecker, Rolf Ernst FlexWAFE - A High-end Real-Time Stream Processing Library for FPGAs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Huai-Yi Hsu, Jih-Chiang Yeo, An-Yeu Wu Multi-Symbol-Sliced Dynamically Reconfigurable Reed-Solomon Decoder Design Based on Unified Finite-Field Processing Element. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Use of Computation-Unit Integrated Memories in High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Paul Royal, Mitch Halpin, Ada Gavrilovska, Karsten Schwan Utilizing Network Processors in Distributed Enterprise Environments. Search on Bibsonomy NCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Perttu Salmela, Pekka Jääskeläinen, Tuomas Järvinen, Jarmo Takala Software Pipelining Support for Transport Triggered Architecture Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Teemu Pitkänen, Risto Mäkinen, Jari Heikkinen, Tero Partanen, Jarmo Takala Low-Power, High-Performance TTA Processor for 1024-Point Fast Fourier Transform. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Bastian Ristau, Gerhard P. Fettweis An Optimization Methodology for Memory Allocation and Task Scheduling in SoCs Via Linear Programming. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Sunil Shukla, Neil W. Bergmann, Jürgen Becker 0001 QUKU: A Two-Level Reconfigurable Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Tim Tuan, Sean Kao, Arifur Rahman, Satyaki Das, Steven Trimberger A 90nm low-power FPGA for battery-powered applications. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, programmable logic
10Hayder Mrabet, Zied Marrakchi, Pierre Souillot, Habib Mehrez A multilevel hierarchical interconnection structure for FPGA. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Francisco-Javier Veredas, Michael Scheppler, Hans-Jörg Pfleiderer Automated conversion from a LUT-based FPGA to a LUT-based MPGA with fast turnaround time. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yan Zhang, Jussi Roivainen, Aarne Mämmelä Clock-Gating in FPGAs: A Novel and Comparative Evaluation. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Enrique Soto, Elena Lago, Juan J. Rodríguez-Andina FPGA Implementation of High-Performance PHM / DPHM Schedulers. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Kazutoshi Kobayashi, Manabu Kotani, Kazuya Katsuki, Y. Takatsukasa, K. Ogata, Yuuri Sugihara, Hidetoshi Onodera A Yield and Speed Enhancement Technique Using Reconfigurable Devices Against Within-Die Variations on the Nanometer Regime. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mike Hutton, Yan Lin 0001, Lei He 0001 Placement and Timing for FPGAs Considering Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Victor M. Goulart Ferreira, Lovic Gauthier, Takayuki Kando, Takuma Matsuo, Toshihiko Hashinaga, Kazuaki J. Murakami REDEFIS: a system with a redefinable instruction set processor. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ISA customization, dynamically reconfigurable processor, low power, SoC, high performance
10Hooman Parizi, Afshin Niktash, Amir Hosein Kamalizad, Nader Bagherzadeh A Reconfigurable Architecture for Wireless Communication Systems. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Declan Hegarty, Steve McDonald An FPGA-based Configurable Network Interface System. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10David Sheldon, Rakesh Kumar 0002, Roman L. Lysecky, Frank Vahid, Dean M. Tullsen Application-specific customization of parameterized FPGA soft-core processors. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yannick Monnet, Marc Renaudin, Régis Leveugle, Nathalie Feyt, Pascal Moitrel, F. M'Buwa Nzenguet Practical Evaluation of Fault Countermeasures on an Asynchronous DES Crypto Processor. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mohsin A. Syed, Eberhard Schüler Reconfigurable Parallel Computing Architecture for On-Board Data Processing. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Gabriella Kókai, Tonia Christ, Hans Holm Frühauf Using Hardware-Based Particle Swarm Method for Dynamic Optimization of Adaptive Array Antennas. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Rashed Zafar Bhatti, Monty Denneau, Jeff Draper 2 Gbps SerDes design based on IBM Cu-11 (130nm) standard cell technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CDR, CML driver, LVDS, SerDes, duty cycle correction (DCC), jitter and skew compensation, standard cell based serializer and deserializer circuits for high speed signaling, PLL, DLL, phase detection
10Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
10Aaron R. Kunze, Stephen D. Goglin, Erik J. Johnson Symerton--using virtualization to accelerate packet processing. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF networking, virtualization, communications systems
10Kamal S. Khouri, Ganesh Lakshminarayana, Niraj K. Jha Memory binding for performance optimization of control-flow intensive behavioral descriptions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10David E. Taylor, Andreas Herkersdorf, Andreas C. Döring, Gero Dittmann Robust header compression (ROHC) in next-generation network processors. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ROHC, hardware assist, FPGA, ASIC, network processor, ASIP, reconfigurable hardware, header compression
10Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Charge-Recovery Computing on Silicon. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Energy-recovering circuits, resonant systems, energy efficient computing, voltage scaling, reversible logic, adiabatic computing
10Harm Peters, Ramanathan Sethuraman, Aleksandar Beric, Patrick Meuwissen, Srinivasan Balakrishnan, Carlos A. Alba Pinto, W. M. Kruijtzer, Fabian Ernst, Ghiath Alkadi, Jef L. van Meerbergen, Gerard de Haan Application Specific Instruction-Set Processor Template for Motion Estimation in Video Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Holger Blume, H. T. Feldkämper, Tobias G. Noll Model-Based Exploration of the Design Space for Heterogeneous Systems on Chip. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF heterogeneous systems on chip, partitioning and mapping, reconfigurable platforms, design space exploration, cost models
10Claudio Talarico, Aseem Gupta, Ebenezer Peter, Jerzy W. Rozenblit Embedded System Engineering Using C/C++ Based Design Methodologies. Search on Bibsonomy ECBS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Pankaj Golani, Peter A. Beerel Back Annotation in High Speed Asynchronous Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ankit Mathur, Mayank Agarwal, Soumyadeb Mitra, Anup Gangwar, M. Balakrishnan, Subhashis Banerjee SMPS: an FPGA-based prototyping environment for multiprocessor embedded systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ramachandran Pradeep, S. Vinay, Sanjay Burman, V. Kamakoti 0001 FPGA based Agile Algorithm-On-Demand Co-Processor. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Zhi Guo, Betul Buyukkurt, Walid A. Najjar, Kees A. Vissers Optimized Generation of Data-Path from C Codes for FPGAs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Panu Hämäläinen, Jari Heikkinen, Marko Hännikäinen, Timo D. Hämäläinen Design of Transport Triggered Architecture Processors for Wireless Encryption. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jun Yuan, Sheqin Dong, Xianlong Hong, Yuliang Wu LFF algorithm for heterogeneous FPGA floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Rajarshi Mukherjee, Seda Ogrenci Memik Evaluation of dual VDD fabrics for low power FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Guangyu Chen, Feihui Li, Mahmut T. Kandemir, I. Demirkiran Increasing FPGA resilience against soft errors using task duplication. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Sasikumar Cherubal Challenges in Next Generation Mixed-Signal IC Production Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Indradeep Ghosh High Level Test Generation for Custom Hardware: An Industrial Perspective. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Andrés David García García, Luis Fernando González Pérez, Reynaldo Félix Acuña Power Consumption Management on FPGAs. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Genetic Algorithms, Field Programmable Gate Array, Power Consumption, Partial Reconfiguration, Circuit Design
10Pascal T. Wolkotte, Gerard J. M. Smit, Gerard K. Rauwerda, Lodewijk T. Smit An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mahdi Fazeli, Reza Farivar 0003, Shaahin Hessabi, Seyed Ghassem Miremadi A Fault Tolerant Approach to Object Oriented Design and Synthesis of Embedded Systems. Search on Bibsonomy LADC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Peak temperature control and leakage reduction during binding in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
10Balasubramanian Sethuraman, Prasun Bhattacharya, Jawad Khan, Ranga Vemuri LiPaR: A light-weight parallel router for FPGA-based networks-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoCRouter, FPGA, networks-on-chip
10François-Xavier Standaert, Eric Peeters, Jean-Jacques Quisquater On the Masking Countermeasure and Higher-Order Power Analysis Attacks. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Francine Bacchini, Gabe Moretti, Harry Foster, Janick Bergeron, Masayuki Nakamura, Shrenik Mehta, Laurent Ducousso Is methodology the highway out of verification hell? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF verification, formal verification, methodology, assertions
10V. Kheterpal, Vyacheslav Rovner, T. G. Hersan, D. Motiani, Y. Takegawa, Andrzej J. Strojwas, Lawrence T. Pileggi Design methodology for IC manufacturability based on regular logic-bricks. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF regularity, manufacturability, integrated circuits, RET
10Bin Wu, Jianwen Zhu, Farid N. Najm A non-parametric approach for dynamic range estimation of nonlinear systems. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic range estimation, non-gaussian, independent component analysis, nonlinear, non-parametric
10Alexander G. Dean Efficient Real-Time Fine-Grained Concurrency on Low-Cost Microcontrollers. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Will Eatherton, George Varghese, Zubin Dittia Tree bitmap: hardware/software IP lookups with incremental updates. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
10Kanad Chakraborty Testing and Reliability Techniques for High-Bandwidth Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiport RAM, BIST (built-in self-test), BISR (built-in self-repair), column-multiplexed addressing, fault tolerance, reliability, bandwidth
10Wei Qin, Subramanian Rajagopalan, Sharad Malik A formal concurrency model based architecture description language for synthesis of software development tools. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Hendrik Seidel, Emil Matús, Gordon Cichon, Pablo Robelly, Marcus Bronzel, Gerhard P. Fettweis Generated DSP Cores for Implementation of an OFDM Communication System. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ioannis Papaefstathiou, George Kornaros, Nicholaos Zervos Software Processing Performance in Network Processors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Marc Quax, Jos Huisken, Jef L. van Meerbergen A Scalable Implementation of a Reconfigurable WCDMA Rake Receiver. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10B. Hecker, M. Chavassieux, M. Laflutte, E. Beguin, L. Lagasse, Jean Oudinot VHDL-AMS Library Development for Pacemaker Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Vikas Chandra, Anthony Xu, Herman Schmit, Lawrence T. Pileggi An Interconnect Channel Design Methodology for High Performance Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license