The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Rohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek Low energy FPGA interconnect design. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Khaled Benkrid, S. Sukhsawas, Danny Crookes, Samir Belkacemi A single-FPGA implementation of image connected component labelling. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Frank Honoré, Benton H. Calhoun, Anantha P. Chandrakasan Power-aware architectures and circuits for FPGA-based signal processing. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Soheil Ghiasi, Karlene Nguyen, Elaheh Bozorgzadeh, Majid Sarrafzadeh On computation and resource management in an FPGA-based computation environment. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31M. A. Hannan Bin Azhar, Keith R. Dimond FPGA-based design of an evolutionary controller for collision-free robot navigation. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Yongquan Fan, Zeljko Zilic Testing for bit error rate in FPGA communication interfaces. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Li Shang, Alireza Kaviani, Kusuma Bathala Dynamic power consumption in Virtex[tm]-II FPGA family. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Ryan N. Schneider, Laurence E. Turner, Michal M. Okoniewski Application of FPGA technology to accelerate the finite-difference time-domain (FDTD) method. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Alireza Kaviani, Stephen Dean Brown Technology mapping issues for an FPGA with lookup tables and PLA-like blocks. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Elias Ahmed, Jonathan Rose The effect of LUT and cluster size on deep-submicron FPGA performance and density. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Amit Singh 0001, Luca Macchiarulo, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska A novel high throughput reconfigurable FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Hyuk-Jun Lee, Michael J. Flynn Coarse-grained carry architecture for FPGA (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31James R. Anderson 0001, Siddharth Sheth, Kaushik Roy 0001 A Coarse-Grained FPGA Architecture for High-Performance FIR Filtering. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF finite impluse response filtering, field programmable gate array, architecture, digital signal processing
31Mohammed A. S. Khalid, Jonathan Rose A Hybrid Complete-Graph Partial-Crossbar Routing Architecture for Multi-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Shashidhar Thakur, D. F. Wong 0001 On Designing ULM-based FPGA Logic Modules. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Koray Öner, Luiz André Barroso, Sasan Iman, Jaeheon Jeong, Krishnan Ramamurthy, Michel Dubois 0001 The Design of RPM: An FPGA-based Multiprocessor Emulator. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, VHDL, rapid prototyping, shared-memory multiprocessors, logic emulation, message-passing multicomputers
31Jason Cong, Yuzheng Ding On Nominal Delay Minimization in LUT-based FPGA Technology Mapping. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Uday Bondhugula, J. Ramanujam, P. Sadayappan Automatic mapping of nested loops to FPGAS. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA compilation, control signals, regular processor arrays, scheduling, FPGA, resource constraints, nested loops, linear transformation
29Luca Sterpone, Matteo Sonza Reorda, Massimo Violante, Fernanda Lima Kastensmidt, Luigi Carro Evaluating Different Solutions to Design Fault Tolerant Systems with SRAM-based FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reliability, fault tolerant systems, SEU, SRAM-based FPGA
29Roman L. Lysecky, Greg Stitt, Frank Vahid Warp Processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, dynamic optimization, hardware/software codesign, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation
29Xuejun Liang Computation core binding in GTM mapping on reconfigurable computers. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, combinatorial optimization, high-level synthesis, reconfigurable computing, template matching
29R. Manimegalai, E. Siva Soumya, Vaishnavi Muralidharan, Balaraman Ravindran, V. Kamakoti 0001, D. Bhatia Placement and Routing for 3D-FPGAs Using Reinforcement Learning and Support Vector Machines. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Three-Dimensional FPGA, Reinforcement Learning (RL), Two-opt algorithm, Support Vector Machines (SVMs), Placement and Routing
29Srihari Cadambi, Chandra Mulpuri, Pranav Ashar A fast, inexpensive and scalable hardware acceleration technique for functional simulation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, hardware acceleration, VLIW, functional simulation
29Lijun Gao, Sarvesh Shrivastava, Gerald E. Sobelman Elliptic Curve Scalar Multiplier Design Using FPGAs. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, public-key cryptography, elliptic curves, reconfigurable hardware, scalar multiplication, Galois field, coprocessor
29Koichi Shimizu, Daisuke Suzuki, Toyohiro Tsurumaru High-Speed Search System for PGP Passphrases. Search on Bibsonomy CANS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Kostas Siozios, Dimitrios Soudris A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Xiaojun Wang, Miriam Leeser K-means Clustering for Multispectral Images Using Floating-Point Divide. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Fei Li 0003, Yizhou Lin, Lei He 0001, Deming Chen, Jason Cong Power modeling and characteristics of field programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Diana Göhringer, Michael Hübner 0001, Michael Benz, Jürgen Becker 0001 A semi-automatic toolchain for reconfigurable multiprocessor systems-on-chip: architecture development and application partitioning (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF designflow, toolchain, fpga, partitioning, reconfigurable computing, mpsoc, hardware/software co-design
28Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
28Jan R. Frigo, Eric Y. Raby, Sean M. Brennan, Christophe Wolinski, Charles Wagner, François Charot, Edward Rosten, Vinod Kulathumani Energy efficient sensor node implementations. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed sensor network (dsn), seismic, fpga, video, acoustic, vehicle classification, dsp
28Alan Mishchenko, Robert K. Brayton, Stephen Jang Global delay optimization using structural choices. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology mpping, FPGA, interpolation, windowing, boolean satisfiability, logic optimization
28Mike Brugge, Mohammed A. S. Khalid Design and evaluation of a parameterizable NoC router for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, architecture, system-on-chip, network-on-chip, design space exploration, router
28Kees A. Vissers, Devada Varma, Vinod Kathail, Jeff Bier, Don MacMillen, Joseph R. Cavallaro Programming high performance signal processing systems in high level languages. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF high level programming models, fpga, signal processing, dsp
28David B. Thomas, Lee W. Howes, Wayne Luk A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mppa, fpga, monte-carlo, random numbers, gpu
28Cristinel Ababei Parallel placement for FPGAs revisited. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga placement, multithreading, parallel simulated annealing
28Alan Mishchenko, Robert K. Brayton, Jie-Hong Roland Jiang, Stephen Jang Scalable don't-care-based logic optimization and resynthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, interpolation, windowing, technology mapping, boolean satisfiability, logic optimization
28Deming Chen, Russell Tessier, Kaustav Banerjee, Mojy C. Chian, André DeHon, Shinobu Fujita, James Hutchby, Steve Trimberger CMOS vs Nano: comrades or rivals? Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, nanotechnology
28Sumanta Chaudhuri Diagonal tracks in FPGAs: a performance evaluation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF diagonal tracks, octagonal, fpga, hexagonal
28Johnny Tsung Lin Ho, Guy G. Lemieux PERG-Rx: a hardware pattern-matching engine supporting limited regular expressions. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, pattern matching, regular expression, antivirus
28Jason Cong, Karthik Gururaj, Bin Liu 0006, Chunyue Liu, Yi Zou, Zhiru Zhang, Sheng Zhou Revisiting bitwidth optimizations. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fixed-poin, fpga, arithmetic, bitwidth
28Paul Edward McKechnie, Nathan A. Lindop, Wim Vanderbauwhede A type system for static typing of a domain-specific language. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static type checking, FPGA, type system
28Guy G. Lemieux, Tarek A. El-Ghazawi Designing with extreme parallelism. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF custom compute engine, high-level electronic design, FPGA, parallel processing, reconfigurable computing, hardware description language
28Yuuri Sugihara, Yohei Kume, Kazutoshi Kobayashi, Hidetoshi Onodera Speed and yield enhancement by track swapping on critical paths utilizing random variations for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, routing, variation, yield enhancement
28Tarek A. El-Ghazawi, Guy G. Lemieux Extreme parallel architectures for the masses. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF custom compute engine, FPGA, parallel processing, reconfigurable computing
28Yan Lin 0001, Lei He 0001 Stochastic physical synthesis for FPGAs with pre-routing interconnect uncertainty and process variation. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, uncertainty, process variation, stochastic, physical synthesis
28Kenneth Eguro, Scott Hauck Armada: timing-driven pipeline-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline FPGA, pipeline routing, reconfigurable computing
28Michael DeLorimier, André DeHon Floating-point sparse matrix-vector multiply for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compressed sparse row, FPGA, reconfigurable architecture, floating point, sparse matrix
28David M. Lewis, Elias Ahmed, Gregg Baeckler, Vaughn Betz, Mark Bourgeault, David Cashman, David R. Galloway, Mike Hutton, Christopher Lane, Andy Lee, Paul Leventis, Sandy Marquardt, Cameron McClintock, Ketan Padalia, Bruce Pedersen, Giles Powell, Boris Ratchev, Srinivas Reddy, Jay Schleicher, Kevin Stevens, Richard Yuan, Richard Cliff, Jonathan Rose The Stratix II logic and routing architecture. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic module, FPGA, routing
28Keith D. Underwood FPGAs vs. CPUs: trends in peak floating-point performance. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, supercomputing, floating point, trends
28Paul Kohlbrenner, Kris Gaj An embedded true random number generator for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TRNG, FPGA, random numbers, RNG, cryptographic
28Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
28Richard B. Kujoth, Chi-Wei Wang, Derek B. Gottlieb, Jeffrey J. Cook, Nicholas P. Carter A reconfigurable unit for a clustered programmable-reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, technology scaling, reconfigurable processor
28Chen Chang, Kimmo Kuusilinna, Brian C. Richards, Robert W. Brodersen Implementation of BEE: a real-time large-scale hardware emulation engine. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware emulation, FPGA, rapid-prototyping
28Andrea Lodi 0002, Mario Toma, Fabio Campi A pipelined configurable gate array for embedded processors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipeline, energy, reconfigurable processor
28Noha Kafafi, Kimberly A. Bozman, Steven J. E. Wilton Architectures and algorithms for synthesizable embedded programmable logic cores. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable logic cores, FPGA, standard cells, system-on-chip design
28Jason Cong, Yizhou Lin, Wangning Long SPFD-based global rewiring. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA synthesis, SPFD, SPFD-based global rewiring, logical re-synthesis
28Shawn Phillips, Scott Hauck Automatic layout of domain-specific reconfigurable subsystems for system-on-a-chip. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automatic layout generation, domain-specific FPGA, system-on a-chip, standard cells
28Michael D. Hutton, Vinson Chan, Peter Kazarian, Victor Maruri, Tony Ngai, Jim Park, Rakesh H. Patel, Bruce Pedersen, Jay Schleicher, Sergey Y. Shumarayev Interconnect enhancements for a high-speed PLD architecture. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, architecture, interconnect, programmable logic
28Deming Chen, Jason Cong, Milos D. Ercegovac, Zhijun Huang Performance-driven mapping for CPLD architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF PLA-style logic cells, FPGA, technology mapping, CPLD, delay optimization
28Peter Hallschmid, Steven J. E. Wilton Detailed routing architectures for embedded programmable logic IP cores. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, detailed routing, SoC design, embedded cores
28Peter Kollig, Bashir M. Al-Hashimi Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bit-level pipelined, circuit latency, FPGA, recursive algorithms
28Alan Marshall, Tony Stansfield, Igor Kostarnov, Jean Vuillemin, Brad L. Hutchings A Reconfigurable Arithmetic Array for Multimedia Application. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 4-bit ALU, FPGA, multimedia, reconfigurable computing
28Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar Satisfiability-Based Layout Revisited: Detailed Routing of Complex FPGAs vis Search-Based Boolean SAT. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF conflict-directed search, Boolean satisfiability, FPGA routing
28Jeffrey A. Jacob, Paul Chow Memory Interfacing and Instruction Specification for Reconfigurable Processors. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF memory interfacing, FPGA, reconfigurable computer, reconfigurable processor, memory coherence
28Ray Bittner, Peter M. Athanas Wormhole Run-Time Reconfiguration. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA, VLSI, digital signal processing, data flow, configurable computing
28Jason Lee, Lesley Shannon Predicting the performance of application-specific NoCs implemented on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, FPGAs, topologies, heterogeneous, NoCs, homogeneous, application-specific, routability
28Jing Yan, Ningyi Xu, Xiongfei Cai, Rui Gao, Yu Wang 0002, Rong Luo, Feng-Hsiung Hsu LambdaRank acceleration for relevance ranking in web search engines (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lambdarank algorithms
28Raphael Rubin, André DeHon Choose-your-own-adventure routing: lightweight load-time defect avoidance. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bitstream load, in-field repair, defect tolerance, alternatives, programmable interconnect
28Qiang Wang, Subodh Gupta, Jason Helge Anderson Clock power reduction for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, clocking
28Narges Bani Asadi, Teresa H. Meng, Wing Hung Wong Reconfigurable computing for learning Bayesian networks. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bayesian networks, reconfigurable computing, Markov chain Monte Carlo
28Wenyi Feng, Jonathan W. Greene Post-placement interconnect entropy. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Tatsuhiro Tachibana, Yoshihiro Murata, Naoki Shibata, Keiichi Yasumoto, Minoru Ito Flexible implementation of genetic algorithms on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Vikas Aggarwal, Alan D. George, K. Clint Slatton Reconfigurable computing with multiscale data fusion for remote sensing. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Heidi E. Ziegler, Mary W. Hall Evaluating heuristics in automatically mapping multi-loop applications to FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping, hardware design
28Satish Sivaswamy, Gang Wang 0015, Cristinel Ababei, Kia Bazargan, Ryan Kastner, Eli Bozorgzadeh HARP: hard-wired routing pattern FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Mohamed Taher, Esam El-Araby, Tarek A. El-Ghazawi, Kris Gaj Image processing library for reconfigurable computers (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Song Peng, David Fang, John Teifel, Rajit Manohar Automated synthesis for asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asychronous circuits, programmable logic, automated synthesis
28Phan Cong Vinh, Jonathan P. Bowen An algorithmic approach by heuristics to dynamical reconfiguration of logic resources on reconfigurable FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Bret Woz, Andreas E. Savakis A VHDL MPEG-7 shape descriptor extractor. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28André DeHon, Brad L. Hutchings, Daryl Rudusky, James Hwang, Nikhil, Salil Raje, Adrian Stoica What is the right model for programming and using modern FPGAs? Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Katsunori Tanaka, Shigeru Yamashita, Yahiko Kambayashi SPFD-based one-to-many rewiring. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Bo Yang 0010, Ramesh Karri, David A. McGrew Divide and concatenate: a scalable hardware architecture for universal MAC. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Remy Eskinazi Sant'Anna, Manoel Eusébio de Lima, Paulo Romero Martins Maciel A left-edge algorithm approach for scheduling and allocation of hardware contexts in dynamically reconfigurable architectures. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Alex K. Jones, Prithviraj Banerjee An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Katherine Compton, Scott Hauck Track placement: orchestrating routing structures to maximize routability. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Pedro C. Diniz, Joonseok Park Using FPGAs for data and reorganization engines: preliminary results for spatial pointer-based data structures. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Mehdi Baradaran Tahoori Application-dependent testing of FPGAs for bridging faults. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Zhiyuan Li 0008, Scott Hauck Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28K. K. Lee, D. F. Wong 0001 LRoute: a delay minimal router for hierarchical CPLDs. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF complex programmable logic devices, routing, hierarchical model, Lagrangian relaxation
28Lorenz Huelsbergen A representation for dynamic graphs in reconfigurable hardware and its application to fundamental graph algorithms. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28John W. Lockwood, Jonathan S. Turner, David E. Taylor Field programmable port extender (FPX) for distributed routing and queuing. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Yu-Chung Lin, Su-Feng Tseng, Tsai-Ming Hsieh Cost minimization of partitioned circuits with complex resource constraints in FPGAs (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Eric K. Pauer, Paul D. Fiore, John M. Smith, Cory S. Myers Algorithm analysis and mapping environment for adaptive computing systems (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Zhi Alex Ye, U. Nagaraj Shenoy, Prithviraj Banerjee A C compiler for a processor with a reconfigurable functional unit. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Stephan W. Gehring, Stefan H.-M. Ludwig Fast Integrated Tools for Circuit Design with FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Larry McMurchie, Carl Ebeling PathFinder: A Negotiation-based Performance-driven Router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Steven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic Architecture of Centralized Field-Configurable Memory. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Kang Yi, Seong Yong Ohm A Fast and Exact Cell Matching Method for MUX-Based FPGA Technology Mapping. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cell Matching, FPGA Technology Mapping, FPGA Synthesis
Displaying result #401 - #500 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license