The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Pipeline with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1975 (16) 1976-1978 (16) 1979-1982 (16) 1983-1984 (19) 1985 (17) 1986 (16) 1987 (28) 1988 (43) 1989 (32) 1990 (48) 1991 (21) 1992 (35) 1993 (35) 1994 (50) 1995 (81) 1996 (64) 1997 (93) 1998 (74) 1999 (113) 2000 (112) 2001 (143) 2002 (170) 2003 (232) 2004 (292) 2005 (344) 2006 (416) 2007 (383) 2008 (404) 2009 (327) 2010 (207) 2011 (168) 2012 (184) 2013 (223) 2014 (243) 2015 (254) 2016 (248) 2017 (322) 2018 (397) 2019 (425) 2020 (530) 2021 (695) 2022 (751) 2023 (814) 2024 (194)
Publication types (Num. hits)
article(3792) book(3) data(1) incollection(34) inproceedings(5387) phdthesis(78)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3849 occurrences of 1991 keywords

Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Zeyuan Song, Anastasia Gurinovich, Anthony Federico, Stefano Monti, Paola Sebastiani nf-gwas-pipeline: A Nextflow Genome-Wide Association Study Pipeline. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Bailin Fan, Ganghan Huang, Xiangyun Zha Numerical simulation of Pipeline Pigging in petroleum Pipeline based on nonlinearity. Search on Bibsonomy Mechatron. Syst. Control. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Shuo Zhang, Stevan Dubljevic Pipeline crawler development for mapping gas pipeline topology. Search on Bibsonomy ACC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Harshad Deshmukh, Bruhathi Sundarmurthy, Jignesh M. Patel To pipeline or not to pipeline, that is the question. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Hiroto Sato, Yuki Mano, Fumio Ito, Takumi Yasui, Manabu Okui, Rie Nishihama, Taro Nakamura 0001 Proposal for Pipeline-Shape Measurement Method Based on Highly Accurate Pipeline Length Measurement by IMU Sensor Using Peristaltic Motion Characteristics. Search on Bibsonomy AIM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Enbin Liu, Jianchao Kuang, Shanbi Peng, Yuting Liu Transient Operation Optimization Technology of Gas Transmission Pipeline: A Case Study of West-East Gas Transmission Pipeline. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Kai Liu 0027, Ming Wang, Weihua Zhu, Jinshan Wu, Xiaoyong Yan Vulnerability analysis of an urban gas pipeline network considering pipeline-road dependency. Search on Bibsonomy Int. J. Crit. Infrastructure Prot. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18José Fernández Navarro, Joel Sjöstrand, Fredrik Salmén, Joakim Lundeberg, Patrik L. Ståhl ST Pipeline: an automated pipeline for spatial mapping of unique transcripts. Search on Bibsonomy Bioinform. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Byeonghyeok Park, Min-Jeong Baek, Byoungnam Min, In-Geol Choi Prokaryotic Contig Annotation Pipeline Server: Web Application for a Prokaryotic Genome Annotation Pipeline Based on the Shiny App Package. Search on Bibsonomy J. Comput. Biol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hussein Sahli, Naser El-Sheimy A Novel Method to Enhance Pipeline Trajectory Determination Using Pipeline Junctions. Search on Bibsonomy Sensors The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Thabane H. Shabangu, Akinlolu A. Ponnle, Kazeem B. Adedeji, Bolanle Tolulope Abe, Peter A. Olubambi, A. A. Jimoh Effects of soil properties on corrosion of buried steel pipeline: A case study of rand water pipeline, South Africa. Search on Bibsonomy AFRICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Naveen Ashish, Lisa Dahm, Charles Boicey University of California, Irvine-Pathology Extraction Pipeline: The pathology extraction pipeline for information extraction from pathology reports. Search on Bibsonomy Health Informatics J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Nakhoon Baek Implementation of the raster pipeline over the 3D geometry pipeline: A point-set approach. Search on Bibsonomy ICCE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Bindu Narayan, Deepak Ravindran, Picton Sue, Jayant Das Pattnaik Sales Pipeline Prediction - Predicting a Pipeline using Time Series and Dummy Variable Regression Models. Search on Bibsonomy ICORES The full citation details ... 2012 DBLP  BibTeX  RDF
18Ekasit Kijsipongse, Sudsanguan Ngamsuriyaroj Placing pipeline stages on a Grid: Single path and multipath pipeline execution. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Patrick G. A. Pedrioli Trans-Proteomic Pipeline: A Pipeline for Proteomic Analysis. Search on Bibsonomy Proteome Bioinformatics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Zhenpei Li, Ping Li, Ming Wu, Weiqiang Wang Application of ArcGIS Pipeline Data Model and GIS in Digital Oil and Gas Pipeline. Search on Bibsonomy Geoinformatics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Jun Yao, Shinobu Miwa, Hajime Shimada, Shinji Tomita Optimal pipeline depth with pipeline stage unification adoption. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Nilanjan Banerjee, Kaushik Roy 0001 Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
18Hendrik P. A. Lensch, Michael Goesele, Jan Kautz, Hans-Peter Seidel The 3D Object Pipeline - Capturing, Processing and Interactive Display of Objects with Complex Appearance (Die 3D-Objekt-Pipeline - Akquisition, Verarbeitung und interaktive Darstellung von Objekten mit komplexem Aussehen). Search on Bibsonomy Informationstechnik Tech. Inform. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Askin Demirkol Zaman kontrollu pipeline işlemcilerin çok işlemcili sistemlerde gösterdiği performans üzerine bir çalışma (Study of performance of time controlled pipeline processors when used in the multiprocessor systems) Search on Bibsonomy 1997   RDF
18Masaki Toyokura, Hisahi Kodama, Eiji Miyagoshi, Koyoshi Okamoto, Masahiro Gion, Takayuki Minemaru, Akihiko Ohtani, Toshiyuki Araki, Hiroshi Takeno, Toshihide Akiyama, Brent Wilson, Kunitoshi Aono A video DSP with a macroblock-level-pipeline and a SIMD type vector-pipeline architecture for MPEG2 CODEC. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Ing-Jer Huang, Alvin M. Despain Hardware/software resolution of pipeline hazards in pipeline synthesis of instruction set processors. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Tongxin Lu, Mahmood R. Azimi-Sadjadi, A. R. Rostampour Skew-pipeline and interleaved pipeline structures for 2-D recursive filtering. Search on Bibsonomy ICASSP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Erling Wold, Alvin M. Despain Pipeline and Parallel-Pipeline FFT Processors for VLSI Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
17Farhana Aleen, Monirul Sharif, Santosh Pande Input-driven dynamic execution prediction of streaming applications. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallelization, software pipeline, dynamic execution
17Serkan Ozdemir, Yan Pan, Abhishek Das, Gokhan Memik, Gabriel H. Loh, Alok N. Choudhary Quantifying and coping with parametric variations in 3D-stacked microarchitectures. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF processor pipeline, process variations, 3D integration, cache architectures
17Banit Agrawal, Timothy Sherwood High-bandwidth network memory system through virtual pipelines. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VPNM, bank conflicts, mean time to stall, packet reassembly, virtual pipeline, network, memory, DRAM, universal hashing, memory controller, MTS, packet buffering
17Noah Paul Evans, Eric Van Hensbergen Brief announcement: PUSH, a DISC shell. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed pipeline, supercomputing, shell
17Masa-Aki Fukase, Atsuko Yokoyama, Tomoaki Sato A ubiquitous processor embedded with progressive cipher pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hardware cryptography, random number addressing, single chip processor, pipeline, ubiquitous
17Charles F. Webb IBM z10: The Next-Generation Mainframe Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-frequency design, decimal floating-point, reliability, pipeline, microprocessor, branch prediction, accelerators, symmetric multiprocessor (SMP), mainframe, Hot Chips 19
17Jin Hwan Park, H. K. Dai 0001 Reconfigurable hardware solution to parallel prefix computation. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel prefix computation, Field-programmable gate arrays, Pipeline, Dataflow, Reconfigurable hardware
17Xu-Dong Chen, Qing-Xin Zhu, Liao Yong, Xiong Guang Ze End-to-end deadline control for aperiodic tasks in distributed real-time systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time multiprocessor pipeline, Stochastic optimal control, Real-time scheduling, Feedback control, Distributed real-time systems, Aperiodic task
17Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
17Li-Che Hung, Yaw-Chung Chen Parallel Table Lookup for Next Generation Internet. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel processing, pipeline, multi-threading, Table lookup, longest prefix matching
17David J. Duke, Rita Borgo, Colin Runciman, Malcolm Wallace Experience report: visualizing data through functional pipelines. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF visualization, evaluation, streaming, pipeline, Haskell
17Amruth N. Kumar The effect of using problem-solving software tutors on the self-confidence of female students. Search on Bibsonomy SIGCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF problem-solving software tutors, shrinking pipeline, learning, programming, self-confidence
17Ben Lickly, Isaac Liu, Sungjun Kim, Hiren D. Patel, Stephen A. Edwards, Edward A. Lee Predictable programming on a precision timed architecture. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pipeline, memory hierarchy, timing predictability
17Jaeyong Lee, Sungil Cho, Kwangsub Yoon 12bits 40mhz pipelined ADC with duty-correction circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adc(analog-to-digital converter), pipeline, cmos, dll
17Yixuan Qin, Martin J. Reed, Zheng Lu, David K. Hunter, Albert Rafel, Justin Kang Fragmentation in a Novel Implementation of Slotted GPON Segmentation and Reassembly. Search on Bibsonomy AccessNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GPON, FPGA, parallelism, pipeline, emulator, fragmentation, SAR
17Florian Auernhammer, Patricia Sagmeister Design optimization of a highly parallel InfiniBand host channel adapter. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HCA, host channel adapter, optimization, design, pipeline, ASIC, InfiniBand
17Erwin Coumans, Keith Victor COLLADA physics. Search on Bibsonomy Web3D The full citation details ... 2007 DBLP  DOI  BibTeX  RDF COLLADA, content pipeline, games, collision detection, X3D, physics
17Shin-Yi Lin, Chih-Tsun Huang A High-Throughput Low-Power AES Cipher for Network Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, low-power AES cipher, two-stage pipeline, CCM mode, design-for-test circuitry, 4.27 Gbits/s, 333 MHz, 40.9 mW, CMOS technology, network applications
17Swapan Kumar Ray Large-Capacity High-Throughput Low-Cost Pipelined CAM Using Pipelined CTAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Content Addressable Memory (CAM), associative store, Associative Memory (AM), pipelined CAM, Content-To-Address Memory (CTAM), pipelined CTAM, Binary Search Processor (BSP), Pipelined Binary Search Processor (PBSP), pipelined binary search, Binary Search Pipeline (BSPL), pipelined search processor, pipelined search engine
17Yang-Wai Chow, Ronald Pose, Matthew Regan, James G. Phillips Human visual perception of region warping distortions. Search on Bibsonomy ACSC The full citation details ... 2006 DBLP  BibTeX  RDF address recalculation pipeline, priority rendering, region warping, tearing artefacts, visual perception, object segmentation
17T. S. B. Sudarshan, Rahil Mir, S. Vijayalakshmi DRIL- A Flexible Architecture for Blowfish Encryption Using Dynamic Reconfiguration, Replication, Inner-Loop Pipelining, Loop Folding Techniques. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Blowfish, inner loop pipeline, loop folding, four - tier architecture, Platform independent architecture, DRIL Architecture, replication, Dynamic reconfiguration
17Bernard (Bud) Scott The Logos Model: An Historical Perspective. Search on Bibsonomy Mach. Transl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF semantico-syntactic representation, associative semantics, human sentence processing, FAHQT, mental model, pipeline architecture
17Roger Z. Ríos-Mercado, Suming Wu, L. Ridgway Scott, E. Andrew Boyd A Reduction Technique for Natural Gas Transmission Network Optimization Problems. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF natural gas, pipeline optimization, transmission networks, preprocessing
17Rajit Manohar, Clinton Kelly IV, John Teifel, David Fang, David Biermann Energy-Efficient Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-time metrics, pipeline dynamics, low-power design
17Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster Synchronous Interlocked Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked
17Katalin Pásztor-Varga A Number Theoretical Approach to the Allocation Problem of a Pipelined Dataflow Model. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF control data flow graph, High level synthesis, pipeline, allocation, time diagram
17Danilo Tuler, Waldemar Celes Filho A High-Level Abstraction for Graphics Hardware Programming. Search on Bibsonomy SIBGRAPI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF programmable pipeline, shading language, rendering
17Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik Optimal organizations for pipelined hierarchical memories. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hierarchical memory processor, scalable pipeline
17Nick Richardson, Lun Bin Huang, Razak Hossain, Tommy Zounes, Naresh Soni, Julian Lewis The iCOREtm 520 MHz synthesizable CPU core. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high-frequency, st20, cache, synthesis, pipeline, embedded, ASIC, branch-prediction, microarchitecture, CPU
17Sadahiro Iwamoto, David M. Checkley Jr., Mohan M. Trivedi REFLICS: Real-time flow imaging and classification system. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Real-time machine vision system, Pipeline-based image processing, Plankton, CUFES, Fish egg sampling, Survey
17Martin Fleury, Andy C. Downton, Adrian F. Clark Pipelined parallelisation of automatic face inspection. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Data farm, Performance analysis, Face identification, Parallel pipeline
17Yu-Sheng Huang, Chih-wen Hsueh Minimizing the maximum end-to-end delay on tree structure using the distributed pinwheel model. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF maximum end-to-end delay minimisation, distributed pinwheel model, end-to-end timing requirements, tight maximum delay bound, quality of service, Internet, computational complexity, timing, computer networks, heuristic algorithm, processor scheduling, timing constraints, simulation result, distributed real-time systems, tree structure, heuristic programming, NP-hard problems, linear-time algorithm, pipeline structure
17Kiamal Z. Pekmastzi Multiplexer-Based Array Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiplication algorithm, two's complement multiplication, pipeline multipliers, Array multipliers
17Santiago Rodríguez de la Fuente, M. Isabel García Clemente, Rafael Méndez Cavanillas Teaching computer architecture with a new superscalar processor emulator. Search on Bibsonomy ITiCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF education, pipeline, emulation, cache memory, superscalar
17Yoshio Kameda, Stanislav Polonsky, Masaaki Maezawa, Takashi Nanya Primitive-Level Pipelining Method on Delay-Insensitive Model for RSFQ Pulse-Driven Logic. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pulse-driven logic, Josephson junction device, RSFQ device, pipeline, asynchronous circuit, delay-insensitive circuit
17Ireneusz Karkowski, Henk Corporaal Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF heterogenous multiprocessor embedded system design, functional pipelining, embedded program mapping, ANSI C program, application specific processor pipeline, frequency tracking system, two-processor system, highly optimized single core solution, architecture, multiprocessing systems, instruction level parallelism, speedup, efficient algorithm, loops
17Chung-Ho Chen, Akida Wu Microarchitecture Support for Improving the Performance of Load Target Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF load target prediction, load-use stall, speculative data access, superscalar procesor, pipeline
17Charles E. Molnar, Ian W. Jones, William S. Coates, Jon K. Lexau A FIFO Ring Performance Experiment. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FIFO ring performance experiment, high-speed FIFO circuit, asynchronous FIFO, clocked shift register, pulse-like protocol, two-phase clocked design, MOSIS, internal FIFO stages, 3.3 V, 1.67 to 4.8 V, 0.6 micron, pipeline, SPICE, data path, hSpice, circuit delays
17Marcos Luiz Mucheroni, Célio Estevan Morón, José Hiroki Saito ArchMDSP: using DSPs for parallel image processing. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ArchMDSP, image mapping, real-time problems, fault-tolerant problems, sub-image distribution available, Sun SparcStation, Sbus, multiple-module carrier card, TMS320C40 processors, timing measurements, 8 Mbyte, parallel architecture, parallel machines, parallel machine, speedup, operators, digital signal processors, communication overhead, parallel programming environment, image size, pipeline structure, parallel image processing
17Gab Joong Jeong, Kyoung Hwan Kwon, Moon Key Lee, Seung Han An A Scalable Memory System Design. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scalable memory system, pipeline technique, systolic data flow, sub-memory blocks, partial binary tree structure, multidirectional data flow, chip size, 4 kbit, 0.8 micron, 5.1 ns, 3.5 mm, throughput, latency, memory architecture, memory architecture, CMOS technology, communication channel, access time, operating speed, clock speed
17P. Greve, J. Hoffman, R. E. Smith Using Type Enforcement to Assure a Configurable Guard. Search on Bibsonomy ACSAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF type enforcement, configurable guard, guard systems, administrator configurable guard, input channel, connected output channel, assured processes, assurance arguments, operational guards, pipeline, electronic mail, electronic mail, trusted components
17Minjoong Rim, Rajiv Jain Valid Transformations: A New Class of Loop Transformations for High-Level Synthesis and Pipelined Scheduling Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF super-scalar, loop compilation, High-level synthesis, VLIW, loop transformations, loop optimization, pipeline scheduling
17Shannon V. Morton, Sam S. Appleton, Michael J. Liebelt ECSTAC: a fast asynchronous microprocessor. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous microprocessor, ECSTAC, two-phase communication, processor pipeline, register tagging, branch techniques, block simulation, caches, logic design, asynchronous circuits, microprocessor chips
17D. K. Arvind 0001, Robert D. Mullins, Vinod E. F. Rebello Micronets: a model for decentralising control in asynchronous processor architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decentralising control, asynchronous processor architectures, micronets, communicating resources, four-phase protocol, hazard avoidance mechanisms, SPICE-level simulations, computer architecture, computer architecture, pipeline processing, processor architectures, fine-grain concurrency
17James D. Allen, David E. Schimmel The impact of pipelining on SIMD architectures. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF massively parallel SIMD architectures, stall penalties, reduction operations, Scheduling mechanisms, area costs, scheduling, parallel architectures, pipelining, program compilers, pipeline processing, performance improvement, SIMD architectures, instruction delivery
17Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications
17Kenneth Y. Yun, David L. Dill A high-performance asynchronous SCSI controller. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF peripheral interfaces, high-performance asynchronous SCSI controller, small computer systems interface, asynchronous pipeline, extended burst-mode machines, CMOS standard cell, data transfer throughput, distributed control scheme, extended burst-mode state machines, synchronisation, distributed control, CMOS integrated circuits, FIFO
17Kotaro Shimamura, Shigeya Tanaka, Tetsuya Shimomura, Takashi Hotta, Eiki Kamada, Hideo Sawamoto, Teruhisa Shimizu, Kisaburo Nakazawa A superscalar RISC processor with pseudo vector processing feature. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF superscalar RISC processor, pseudo vector processing, architectural extension, floating-point registers, scoreboard-based dependency check, pipeline stage optimization, 267 MFLOPS, 1.2 Gbyte/s, performance evaluation, performance, computer architecture, memory access, reduced instruction set computing, vector processor systems
17Y. J. Bae, Michael C. Fairhurst Parallelism in dynamic time warping for automatic signature verification. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic signature verification, mathematical optimisation, sequentially structured problems, pipeline paradigm, highly distributed network, Meiko Computing Surface, Occam2, computational complexity, computational complexity, optimisation, handwriting recognition, time complexity, dynamic time warping, time warp simulation, transputer network
17Chengwen Liu, Clement T. Yu Performance Issues in Distributed Query Processing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF pipeline strategies, fragment and replicate strategy, partition and replicate strategy, queryexecution, queryprocessing, performance evaluation, distributed databases, database theory, response times, distributed query processing, local reduction, performance issues
17David W. Wall Experience with a Software-Defined Machine Architecture. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF optimization, profiling, graph coloring, register allocation, RISC, pipeline scheduling, intermediate language, register windows, interprocedural
17Soo-Young Lee, J. K. Aggarwal A System Design/Scheduling Strategy for Parallel Image Processing. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF system design/scheduling strategy, linear pipeline, scheduling, real-time systems, real-time, parallel processing, computerised picture processing, computerised picture processing, multiprocessor systems, parallel image processing
17Krishna P. Mikkilineni, Yuan-Chieh Chow, Stanley Y. W. Su Petri-Net-Based Modeling and Evaluation of Pipelined Processing of Concurrent Database Queries. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF concurrent database queries, integrated data network, intermediate data sharing, Petri nets, query processing, distributed databases, distributed databases, computer networks, program testing, digital simulation, pipelined processing, pipeline processing, Petri-net model, event-driven programming
17Haruo Yoda, Yozo Ohuchi, Yuzo Taniguchi, Masakazu Ejiri An Automatic Wafer Inspection System Using Pipelined Image Processing Techniques. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF automatic wafer inspection system, pipelined image processing techniques, defective patterns, multilayered wafer patterns, comparison method, digital design pattern data, CAD data, 6 micron, 7 MHz, pattern recognition, computer vision, computer vision, picture processing, integrated circuit testing, computerised picture processing, computerised pattern recognition, inspection, circuit analysis computing, fault location, pipeline processing, defect detection, defect classification, false-alarm rate
17Jorge L. C. Sanz, Dragutin Petkovic Machine Vision Algorithms for Automated Inspection Thin-Film Disk Heads. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF thin-film disk heads, multicode masks, computer vision, computer vision, segmentation, parallel architectures, transforms, computerised pattern recognition, Hough transform, machine vision, inspection, pipeline architectures, computerized pattern recognition, automatic visual inspection
16Hui Wang, Rama Sangireddy, Sandeep Baldawa Optimizing Instruction Scheduling through Combined In-Order and O-O-O Execution in SMT Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Chaitanya Mishra, Nick Koudas Join Reordering by Join Simulation. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Dimitris Syrivelis, Spyros Lalis Extracting Coarse-Grained Pipelined Parallelism Out of Sequential Applications for Parallel Processor Arrays. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Chung-Ping Chung, Hong-Wei Chen, Hui-Chin Yang Blocked-Z Test for Reducing Rasterization, Z Test and Shading Workloads. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Richard Chuang, David (grue) DeBry Creative collaboration: effective CG pipelines: any size, any place. Search on Bibsonomy SIGGRAPH ASIA Courses The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Danny Dimian, Karl Herbst Practical uses of a ray tracer for Cloudy with a Chance of Meatballs. Search on Bibsonomy SIGGRAPH Talks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jian Wang 0037, Rui Chen 0007, Pengyan Zhang, Ningjie Yu Fault tree analysis in city lifeline system operations and management-theory and application. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF city lifeline system, city operations and management, triangular fuzzy number, fault tree analysis
16Giliam J. P. de Carpentier, Rafael Bidarra Interactive GPU-based procedural heightfield brushes. Search on Bibsonomy FDG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heightfields, procedural synthesis, shader programming, graphics hardware, GPGPU, terrain modeling
16Ke Xu 0014, Oliver Chiu-sing Choy A Power-Efficient and Self-Adaptive Prediction Engine for H.264/AVC Decoding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, Michail Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 Systematic Software-Based Self-Test for Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Sejong Oh, Tag Gon Kim, Jeonghun Cho, Elaheh Bozorgzadeh Speculative Loop-Pipelining in Binary Translation for Hardware Acceleration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16David Koop, Carlos Eduardo Scheidegger, Steven P. Callahan, Juliana Freire, Cláudio T. Silva VisComplete: Automating Suggestions for Visualization Pipelines. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xin Sun 0014, Kun Zhou 0001, Eric J. Stollnitz, Jiaoying Shi, Baining Guo Interactive relighting of dynamic refractive objects. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interactive relighting, refractive objects, ray tracing, photon tracing
16Takahiro Saito, Yuki Ishii, Haruya Aizawa, Daisuke Yamada, Takashi Komatsu Image-processing approach via nonlinear image-decomposition for a digital color camera. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank PaCo: Probability-based path confidence prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yanyan Gao 0001, Xi Li 0003 Formal Verification of Bypassed Processor Pipelines. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hoang Le, Weirong Jiang, Viktor K. Prasanna A SRAM-based Architecture for Trie-based IP Lookup Using FPGA. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Tzu-Chieh Kuo, Alan N. Willson Jr. Enhanced delta-based layered decoding of WiMAX QC-LDPC codes. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kefu Xu, Deyu Qi 0001, Zhengping Qian, Weiping Zheng Fast Dynamic Pattern Matching for Deep Packet Inspection. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jieyi Long, Seda Ogrenci Memik Automated design of self-adjusting pipelines. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay monitoring, variable clock skews, self-adjusting
Displaying result #401 - #500 of 9295 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license