The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Semiconductors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1985 (16) 1987-1992 (16) 1993-1997 (16) 1998-1999 (19) 2000-2001 (29) 2002 (19) 2003 (21) 2004 (21) 2005 (20) 2006 (31) 2007 (19) 2008 (21) 2009 (19) 2010 (15) 2011 (18) 2012-2013 (18) 2014-2015 (19) 2016-2017 (23) 2018-2019 (30) 2020-2021 (36) 2022 (19) 2023-2024 (16)
Publication types (Num. hits)
article(265) incollection(2) inproceedings(170) phdthesis(24)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 157 occurrences of 138 keywords

Results
Found 461 publication records. Showing 461 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Meigen Shen, Li-Rong Zheng 0001, Esa Tjukanoff, Jouni Isoaho, Hannu Tenhunen Concurrent Chip Package Design for Global Clock Distribution Network Using Standing Wave Approach. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13María del Milagro Bolado, Hector Posadas, Javier Castillo, Pablo Huerta, Pablo Sánchez, Carlos Sánchez, Häkan Fouren, Francisco Blasco Platform Based on Open-Source Cores for Industrial Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13José Mariano Fernández Nava, Pedro Bañuelos Sánchez Stacked Multicell Converter Controlled by DSP. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Said Hamdioui, Georgi Gaydadjiev, Ad J. van de Goor The State-of-Art and Future Trends in Testing Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Ho-Ick Suk Information Security in Korea IT839 Strategy. Search on Bibsonomy ASIACRYPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Kikuo Okuyama, Wuled Lenggoro, Toru Iwaki Nanoparticle Preparation and Its Application - A Nanotechnology Particle Project in Japan. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect detection under Realistic Leakage Models using Multiple IDDQ Measurement. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Sandip Kundu, T. M. Mak, Rajesh Galivanche Trends in manufacturing test methods and their implications. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Cor Claeys Technological Challenges of Advanced CMOS Processing and Their Impact on Design Aspects. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Richard Goldman, Kurt Keutzer, Clive Bittlestone, Ahsan Bootehsaz, Shekhar Y. Borkar, E. Chen, Louis Scheffer, Chandramouli Visweswariah Is statistical timing statistically significant? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif Optimal decoupling capacitor sizing and placement for standard-cell layout designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Ming-Yueh Tsay, Hong Xu, Chia-wen Wu Author co-citation analysis of semiconductor literature. Search on Bibsonomy Scientometrics The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Ming-Yueh Tsay, Hong Xu, Chia-wen Wu Journal co-citation analysis of semiconductor literature. Search on Bibsonomy Scientometrics The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Thierry J.-F. Omnés, Gerard Postuma, Jos Verhaegh, Marleen Boonen, Nick Gatherer Using SSDE for USB2.0 conformance co-verification. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, Jonathan Rose Automatic transistor and physical design of FPGA tiles from an architectural specification. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
13Sergey Smirnov, Hans Kosina, Mihail Nedjalkov, Siegfried Selberherr A Zero Field Monte Carlo Algorithm Accounting for the Pauli Exclusion Principle. Search on Bibsonomy LSSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Roger Barth ITRS Commodity Memory Roadmap. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Giacomo Giorgi, Filippo De Angelis, Nazzareno Re, Antonio Sgamellotti Theoretical Analysis on Mechanisms Implied in Hybrid Integrated Circuit Building. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Arzu Sardarli, Igor M. Filanovsky, R. M. Sardarli, O. A. Samedov, I. Sh. Sadigov, A. I. Aslanov Translation of the Phase Transition Temperature in TlInS2 Crystals with Cationic Impurity Doping. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Miguel Ângelo M. Madureira, Paulo M. P. Monteiro, Rui L. Aguiar, Manuel Violas, M. Gloanec, E. Leclerc, Bernard Lefebvre Broad-band transimpedance amplifier for multigigabit-per-second (40 Gbps) optical communication systems in 0.135µm PHEMT technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Abhijit Prasad, D. M. H. Walker Chip Level Power Supply Partitioning for IDDQ Testing Using Built-In Current Sensors. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Ananta K. Majhi, Guido Gronthoud, Camelia Hora, Maurice Lousberg, Pop Valer, Stefan Eichenberger Improving Diagnostic Resolution of Delay Faults using Path Delay Fault Model. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Kaushik Roy 0001, T. M. Mak, Kwang-Ting Cheng Embedded Tutorial: Test Consideration for Nanometer Scale CMOS Circuits. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13A. V. Mule, Elias N. Glytsis, Thomas K. Gaylord, James D. Meindl Electrical and optical clock distribution networks for gigascale microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Stefan Schoen, Helmut Degen, Nuray M. Aykin, Arnold Rudorfer, Xiaowei Yuan Siemens AG. Search on Bibsonomy Interactions The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Kaustav Banerjee, Amit Mehrotra Analysis of on-chip inductance effects for distributed RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Chih-Wen Lu, Chung-Len Lee 0001, Chauchin Su, Jwu-E Chen Analysis of Application of the IDDQ Technique to the Deep Sub-Micron VLSI Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, IDDQ testing, deep sub-micron
13Rajesh Gupta 0001 Sustaining an Industry Obsession. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Juan Antonio Carballo, Sani R. Nassif Impact of Technology in Power-Grid-Induced Noise. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Geoffrey C.-F. Yeap Leakage current in low standby power and high performance devices: trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gate tunneling leakage, low standby power, off-state sub-threshold leakage, system-on-a-ship (SoC), high performance, CMOS technology, leakage current
13Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
13Matthew M. Ziegler, Mircea R. Stan A Case for CMOS/nano co-design. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Sani R. Nassif, Onsi Fakhouri Technology trends in power-grid-induced noise. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power grid noise
13Todor V. Gurov, Paula A. Whitlock, Ivan Dimov 0001 Monte Carlo and Quasi-Monte Carlo Algorithms for the Barker-Ferry Equation with Low Complexity. Search on Bibsonomy Numerical Methods and Application The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Santanu Dutta Architecture and design of NX-2700: a programmable single-chip HDTV all-format-decode-and-display processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Peter Meuris, Wim Schoenmaker, Wim Magnus Strategy for electromagnetic interconnect modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Hai Zhou 0001, Adnan Aziz Buffer minimization in pass transistor logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Santanu Dutta, Rune Jensen, Alf Rieckmann Viper: A Multiprocessor SOC for Advanced Set-Top Box and Digital TV Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Ralf Brederlow, Werner Weber, Joseph Sauerer, Stéphane Donnay, Piet Wambacq, Maarten Vertregt A Mixed-Signal Design Roadmap. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Thomas L. Sterling An Introduction to the Gilgamesh PIM Architecture. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Renato P. Ribas, F. Rudge Barbosa, N. Turatti Integrated Circuits Design Teaching Using Professional CAD Environments. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Stanislaw P. Maj, D. Veal, Rick Duley A proposed new high level abstraction for computer technology. Search on Bibsonomy SIGCSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF computer technology education, constructivisim, modeling
13Azeez J. Bhavnagarwala, Blanca Austin, Keith A. Bowman, James D. Meindl A minimum total power methodology for projecting limits on CMOS GSI. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Santanu Dutta Architecture, Design, and Verification of an 18 Million Transistor Digital Television and Media Processor Chip. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Kees Veelenturf The Road to Better Reliability and Yield Embedded DfM Tools. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wire spreading, yield prediction, yield improvement, DfM
13Chih-Wen Lu, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen Is IDDQ testing not applicable for deep submicron VLSI in year 2011? Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deep submicron VLSI, IDDQ current estimation, random process deviations, IDDQ distributions, VLSI, statistical analysis, integrated circuit testing, CMOS integrated circuits, leakage currents, IDDQ testing, statistical approach, standard deviation, input vectors, circuit size
13Aart J. de Geus Slap it Together and Ship it! Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13John M. Cohn, Rob A. Rutenbar, Steve J. Young, Chris Malachowsky, Luis Aldaz Case studies: Chip design on the bleeding edge (panel session abstract). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Carl Pixley, Vigyan Singhal Model Checking: A Hardware Design Perspective. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automated mathematical methods, Model checking, Formal Verification, Binary decision diagrams, Integrated circuits, Hardware verification
13Octavian-Dumitru Mocanu, Joan Oliver Fault-Tolerant Memory Architecture Against Radiation-Dependent Errors: A Mixed Error Control Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hamming SEC code, latch-up, memory system, single event upset, built-in current sensor
13M. Giangi, Daniela Mansutti, G. Richelli Steady 3D Flow Configurations for the Horizontal Thermal Convection with Thermocapillary Effects. Search on Bibsonomy J. Sci. Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Numerical simulation, Navier-Stokes, heat-transfer, convection
13Theo A. C. M. Claasen The Changing Semiconductor Industry: From Components to Silicon Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Hoda S. Abdel-Aty-Zohdy, Mahmoud Al-Nsour Digital Neural Processing Unit for Electronic Nose. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Neural Networks, Reinforcement Learning, ASIC, Digital Design, Electronic Nose
13Hugo De Man Design Technology Research and Education for Deep-Submicron Systems of the Next Century. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Azeez J. Bhavnagarwala, Blanca Austin, James D. Meindl Minimum supply voltage for bulk Si CMOS GSI. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Xinghai Tang, Vivek De, James D. Meindl Intrinsic MOSFET parameter fluctuations due to random dopant placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Wayne Wei-Ming Dai Chip Parasitic Extraction and Signal Integrity Verification (Extended Abstract). Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Aurelio Pellegrini, Luigi Colalongo, Marina Valdinoci, Massimo Rudan AC analysis of amorphous silicon devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Steven D. Millman Improving quality: Yield versus test coverage. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF quality, Fault modeling, yield, test economics, physical defects
13Gerhard K. M. Wachutka Rigorous thermodynamic treatment of heat generation and conduction in semiconductor device modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #461 of 461 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license